Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

Cyclone V E Development Board Kit

$
0
0
Hi all,
I have Cyclone V E Development board kit. In the user guide, it said the frequency could go up to 810 MHZ by using Clock Control Application. But in the Cyclone V datasheet, it said "Global clock and Regional clock" is just go up to 460MHz and Max of "Output frequency for internal global or regional clock" for PLL is 460 MHz. How is Clock Control application get 810 MHz for clock input of programmable si570 oscillator?


Cyclone 10 GX LVDS clock buffer

$
0
0
When working with Cyclone 5 I remember having to buffer PLL output clocks before I can feed them to ALTLVDS. There's a cyclonev_pll_lvds_output in cyclonev_atoms.v I can use.

cyclonev_pll_lvds_output #(
.pll_loaden_enable_disable("true"),
.pll_lvdsclk_enable_disable("true"))
cyclonev_pll_lvds_output_inst (
.ccout({load_unbuf, clk_unbuf}),
.loaden(load_buffed),
.lvdsclk(clk_buffed)
);

Cyclone 10GX appears to require this too. After searching a bit there's a cyclone10gx_lvds_clock_tree inside cyclone10gx_atoms.v that looks suspiciously similar to the Cyclone5 version. So I tried this:


cyclone10gx_lvds_clock_tree lvds_clkbuf (
.lvdsfclk_in(clk_unbuf), .loaden_in(load_unbuf
),
.lvdsfclk_out(clk_buffed), .loaden_out(load_buffed),
.lvdsfclk_top_out(), .loaden_top_out(),
.lvdsfclk_bot_out(), .loaden_bot_out()
);

However Quartus crashed when compiling. Submitted automated crash report and everything.

But am I on the right track though?

GPIO Input Configuration

$
0
0
Hi all, I thought I would post an extension of my GPIO problems in a new thread to address a specific issue. I'm trying to receive a simple 3.3v signal through one of the GPIO pins on the expansion header as the return from a basic range finder. It's going to enable a clock setup eventually that will measure the length of the pulse. All this is being done without VHDL or Verilog as our instructor wants the project built primarily using primitives. I've got to the point where I can get GPIO[0] assigned to an input and my test circuit looks like this: GPIO[0] (input) ==> LEDR[0] (output). My intention was to test the reception of the signal by connecting a jumper between the 3.3v vcc on a nearby pin to cause the LED to light up. That's not how things are working, however.

As soon as the board is programmed, LEDR[0] lights up indicating it's receiving a voltage from somewhere even when nothing is connected to the input pin GPIO[0]. It stays lit until I connect a jumper from that pin to ground to short the signal at which time the LED goes out. It is my suspicion that I have something configured wrong, maybe in the pin planner, and I'm hoping someone can help. I've been playing around with different settings to no avail. If anyone could offer suggestions I'd greatly appreciate it. It seems like such a simple thing - just receive one 3.3v signal.

Cyclone V E Development Kit failed on Active Serial Configuration via JTAG

$
0
0
Hi All,
I am currently working on Cyclone V E Development Kit. I tried to save configuration file into EPCQ256SI16N. I did follow the youtube https://www.youtube.com/watch?v=dPSFCGNQOCU to generate .jic file. I set up MSEL[4-0] pin is
MSEL 4 : 1
MSEL 2: 0
MSEL 1: 1
MSEl 0: 0

as shown in Table 7-2: MSEL Pin Settings for Each Configuration Scheme of Cyclone V Devices of Cyclone V Handbook Device. But I am still get programmer process failed around 80 and 90%. I used Quartus 13.1 service pack 1. On the Quartus, it shows error "Can't recognize silicon ID for device 1"

Does anyone have any ideas what happens on it? Thanks.

Why does the same path have different timing in TimeQuest?

$
0
0
Hello!
I'm trying to constraint a source-synchronous output on my FPGA but I'm getting some timing numbers I can't quite understand (I feel they should be different).
I've read Rysc's pdfs on both TimeQuest and Source-Synch interfaces (which are great, awesome contribution!) and Altera's application note (AN433) and I think I understand how it should work.

My system has 2 SDI transceiver inputs, both recovered clocks are running at a nominal 148.5MHz. This two clocks are then multiplexed through logic, I know ripple clocks are frowned upon but I didn't know how else to do it, I couldn't feed those clocks into a clock control block because they come from channel PLLs (which can't feed ctrl blocks) and there's actually another reason which I'm leaving out to simplify the description of the system.

In any case, since I won't be doing any synchronous transfer between the recovered and the ripple clocks (there's a dc FIFO in between) I don't think it should be a problem. AND to be sure (and drive the source synch output) there a PLL right after the logic MUX. This PLL is the one I'm using to read from the dc FIFO and output data into ALTDDIO registers with clock_0 and a second PLL output (clock_180) shifted by 180 to drive another ALTDDIO register with the output clock. The interface is actually SDR, but I figured having both data and clock go through ALTDDIO registers would help with keeping timing similar between them (I've added a false_path from the falling edge of clock_0).

Now, to my question and the reason why I'm confused. I'm running TimeQuest trying to constraint the output and I keep getting some numbers which I feel should be the same -although I'm not entirely sure- but are quite different. For example, when I generate a report of hold timing on the output (clock_0 to clock_180) I get the following (this would be for one of the clocks being muxed, there's basically two sets of identical constraints one for each SDI recovered clock):


I've drawn a blue line where the paths diverge, you can see the required path is using one PLL counter (clock_0) and the arrival path is using the other PLL counter (clock_180). Above this line is the path of the recovered clock all the way from the transceiver's channel PLL. Why is it that the increments are so different between both paths? If everything above the blue line is the same, shouldn't the increments be at least similar? I've highlighted with red two that are remarkably different.

I'm just trying to understand what's going on, this is the first time I've had to constrain something this complex and would like to know what's actually happening inside.

Thank you all!
Attached Images

No logic elements although I have an output

$
0
0
Hello
Please guide me why I don't see any logic element for the current code :

LIBRARY ieee;
USE ieee.std_logic_1164.all;
Use ieee.numeric_std.all;
USE work.my_data_types.all; -- to define array of input ( package )

ENTITY test IS
PORT (
clk: in std_logic;

OutResult: out signed(9 downto 0));
end test;

Architecture behave of test is

Signal Im : Array2D:=(("0010000111","0001111110","0001001101", "0000000000","0000000000"),
("0001001111","0010000100","0000101100","000001101 1","0000000000"),
("0001011101","0010000100","0000100111","000010100 1","0000000000"),
("0001011101","0010000100","0000100111","000010100 1","0000000000"),
("0000000000","0000000000","0000000000","000000000 0","0000000000"));

signal Bufftemp:Array2D;
signal Buffsig:signed(9 DOWNTO 0);
begin


Process (clk)

variable i,j:integer range 0 to 7:=0;

begin
if (clk' event and clk='1') then
for j in 0 to 1 loop
for i in 0 to 1 loop

Bufftemp(2*j,2*i+1)<= Im(2*j,2*i+1) - 5;


end loop;
end loop;
end if;
Buffsig<=Bufftemp(i,j);
end process;


OutResult<=Buffsig;


end behave;

Would you recommend "new" Cyclone 10 GX now for a new design??

$
0
0
Hi,
I have seen that Cyclone 10GX are being available. As they are comparable to the smallest & low grade A10GX, but for a much lower cost, they can be very interesting I think.

Pro:
- Low price
- Some parts are stocked (36 pieces of 10CX220YF780I6G and 10CX220YF780E6G)
- 1 dev kit available
Cons:
- Less documentation than older FPGA (compare to A10GX for example)
- Almost no entry on Altera forum (+1 now :-) ) and only 1 on Altera wiki
- Only 1 dev kit with only the schematic pdf available. No Quartus ref design to prove tools/chips are working and have been tested (golden top, transceiver, BTS, …).
- Q17.1pro (no licence) is crashing a lot and compiling a former Q17.0standard A10GX project does not work (all ip re-created manually in Q17.1pro).
Analysis&Synthesis is stuck at 4%, then PC RAM grows till the max 32GB available and then SW ends.
But Altera wiki "simple" example compiles.

As I need several demanding features regarding tools (configuration, pinout and timing analysis), I am afraid that it is too soon to use cyclone 10GX.
- Several 12.5Gbps RX/TX
- >80LVDS inputs (serialize x10, ~1gbps)
- Remote update

What do you think? Do you already design boards with C10GX?

Thanks for feedbacks.

Links:
Dev kit:
https://www.altera.com/products/boar...ntent=NA_gxkit
Altera wiki project:
http://www.alterawiki.com/wiki/File:...DemoDesign.zip

I'm having difficulty with ssh to new Arria 10 SoC Dev Kits

$
0
0
We just purchased 8 of the Intel Arria 10 SoC Development Kits (not GX). I've tried several Arria 10 Dev Kits and all are behaving the same way. I setup a nat network behind my laptop with DHCP and the board intermittently displays the IP address on the LCD. Sometimes the LEDs near C70 never blink (four solid lights) and the LCD is blank, so I have to do a warm boot repeatedly until the boards to come up. When the LEDs cycle and the LCD displays either an IP address or No IP address, I check the DHCP server logs and it assigns an address either way. When it does boot up, I can see the web interface and perform all of the tests (LEDs, LCD display, etc.) except ssh to the board. The connection is always closed by the remote computer when I try "ssh root@192.169.0.x", where x is the DHCP assignment. I can get the certificate, so I know the port is open (not an ipchains or other firewall issue). Is there a way to open a terminal through USB or RS232 to see what's happening?

[COMPILE] Error: DSP Builder

$
0
0
Hi again!

I get an error when tying to compile with the flag -c:
aoc -v -c cluster_k.cl
then:
Internal Error: DSP Builder for Intel(R) FPGAs - Advanced Blockset Internal Restriction: 'm_startVec.size() > 0' in commonBackend::EnumerateLatencyConstraints::Privat e::beginSetIteration at p4/ip/aion/src/mip_common/latency_constraint_iterator.cpp:268.
Error: DSP Builder for Intel(R) FPGAs - Advanced Blockset Internal Restriction: 'm_startVec.size() > 0' in commonBackend::EnumerateLatencyConstraints::Privat e::beginSetIteration at p4/ip/aion/src/mip_common/latency_constraint_iterator.cpp:268.

the line that is causing this error is:
b2 = ceil(components * tolerance);


components is an integer that is incremented through a loop, tolerance is a constant double.
Whats the problem with the above line? (Also b2 is integer)

Thanks in advance

Any easy way to get a bare metal image on SD card?

$
0
0
Hello:

I am the hardware guy working on a Cyclone V design. I have prototype boards that we are trying to bring up and then hand off to the software guys.

Currently, I have checked out the power supplies on the board and have managed to configure the FPGA with an image that simply blinks an LED and configures the ARM HPS system.

I was careful to keep match the HPS configuration that was used on one of the evaluation boards as much as possible, such as the selection of UART0, SD card, etc. My hope was that the same preloader image that was used on the eval board would work on my board.

So now I would like to see if the ARM is actually alive. I have read various documents that refer to a 'bare metal preloader' that has 'hello world' option. I would really like one of these now!

Okay, I also read the documents that describe how to create such a beast. Unfortunately, I am the hardware guy, and most of it is greek to me.

Is there any way that I can just buy a micro SD card with the bare metal preloader image on it?

thanks
Rod

Can a Quartus Prime Pro license run Quartus Standard Edition?

$
0
0
Hello, management has released some funds to upgrade our Quartus software so I'm looking at the Prime Pro and the Standard editions. Can anyone tell me if I purchase a Prime Pro license that I will be able to run the Standard edition? It looks to me that I will need to install the Prime Pro and the Standard edition because the Prime Pro doesn't support all the devices. Am I correct?

Thanks,
Joe

Creating square waves of varying frequencies

$
0
0
Hi all,

I'm currently trying to produce sound via an Audio Controller by creating square waves that change in frequency depending on which switch on the FPGA is flipped. I'm able to get sound, but for some reason that I can not figure out all except for one note (A4 at 440Hz) are outputting the wrong tone. I've done some pretty extensive research and have come up dry as to what the problem is :( I'm dividing the Audio CODEC Chip Clock (clocked at 48kHz) for various Duty Cycles to produce the correct frequency. Here's a snippet of my code:
Code:

// Positive and negative amplitude parameters for square wave
parameter pos_amp = 32'h7FFFFFFF;
parameter neg_amp = 32'h80000000;

// Determines channel_audio_out via dac_out
wire signed [32:1] channel_audio_out = dac_out ? pos_amp : neg_amp;
 
// Seperate 8-bit counter for each note
reg [7:0] ac_counter_C4;
reg [7:0] ac_counter_D4;
reg [7:0] ac_counter_E4;
reg [7:0] ac_counter_F4;
reg [7:0] ac_counter_G4;
reg [7:0] ac_counter_A4;
reg [7:0] ac_counter_B4;

reg clear_audio_out_memory;    // To clear audio_out buffer when no SW is flipped
reg write_audio_out;        // To signal when to write to audio_out buffer
reg dac_out;        // Determines pos_amp or neg_amp for channel_audio_out

// Determines dac_out via ac_counter's (AUD_XCK dividers)
always@(posedge AUD_XCK) // 48kHz
begin
clear_audio_out_memory <= 1'b0;
if (SW[0] == 1'b1) // C4 --- f = 261.626 Hz --- Duty Cycle = 184
begin
ac_counter_C4 <= ac_counter_C4 + 1'b1;
if (ac_counter_C4 >= 8'd183)
ac_counter_C4 <= 8'd0;
write_audio_out <= 1'b1;
if (ac_counter_C4 < 8'd92)
dac_out <= 1'b1;
else
dac_out <= 1'b0;
end
else if (SW[1] == 1'b1) // D4 --- f = 293.665 Hz --- Duty Cycle = 164
begin
ac_counter_D4 <= ac_counter_D4 + 1'b1;
if (ac_counter_D4 >= 8'd163)
ac_counter_D4 <= 8'd0;
write_audio_out <= 1'b1;
if (ac_counter_D4 < 8'd82)
dac_out <= 1'b1;
else
dac_out <= 1'b0;
end

...etc for all notes...


else // NO SWITCH ON --- DEFAULT STATE
begin
   
ac_counter_C4 <= 1'd0;
ac_counter_D4 <= 1'd0;
ac_counter_E4 <= 1'd0;
ac_counter_F4 <= 1'd0;
ac_counter_G4 <= 1'd0;
ac_counter_A4 <= 1'd0;
ac_counter_B4 <= 1'd0;
   
write_audio_out <= 1'b0;
clear_audio_out_memory <= 1'b1;
dac_out <= 1'b0;
end
end

I'd also like to add that the fact that I have no reset is not a problem (that I'm aware), I have it in a different part of my code.

If anyone could help me figure out where I went wrong it would be much appreciated,
Thanks!

SignalTap different sample depth led to different logic

$
0
0
Hi guys,

I met a problem recently. I am using signaltap to capture some signals. When the sample depth is 2k, the signals are correct. But when I change the depth to 4k, some of the signals become weird. For example: a register changed all the time when it should stay unchanged.

I am using Quartus ii 14.1 and Cyclone 5.

Does anyone know what's going on?

Thank you.

Leon

Display of amount of Block RAM used for a SC_FIFO missing

$
0
0
Hallo,

I used Quartus 16.1.203 to compile a design where I used a FIFO IP which is, I assume is synthesised/built as a RAM.

The compilation is successful. But in the summary I do not see the amount of RAM used which is empty, and can not locate it in the Chip Planner either.

Though the RTL Viewer shows the block and the connections.

What could be the reason?

Attached you find a image of the resource utilization.(where capdev_rx_infifo is empty)

Thanks,
Alex.
Attached Images

MAX10 PLL Not Locking - KHz instead of MHz

$
0
0
Greetings,

We're using the 10M16DAF256I7G FPGA from the Max10 family. We're designing the firmware in VHDL and using Quartus to connect the blocks via schematics. 99% of our firmware is working perfeclty, but none of the internal PLLs are working, no matter what we try. We are comparing our results using the ARROW DECA Evalkit with a 10M50DAF484 FPGA, whose PLLs are working just fine.

To simplify the troubleshooting process, I created a barebones Quartus project and just added a few things as seen here:

Top level schematic
Basically, the 100 MHz, single-ended clock comes in, is routed through a Clock_Control module (we didn’t have this at first, so we’ve tried with and without). From there it’s routed to the input of the PLL and to a debug pin for measurement. There are 3 outputs of the PLL, one that should have no division, so 100 MHz, one that should have a division of 2, so 50 MHz and one that signifies the PLL is locked (high = locked). Further there’s a single pin tied high to turn on the 100 MHz oscillator (master clock).

It seems as though we never achieve a lock, and the output frequencies are WILDLY off. Here is the mclk_out measured showing 100 MHz. It looks exactly like the input waveform.


mclk_out

Now for the first clock output, clock_out, which should be 100 MHz but is actually 18.29 KHz (this drifts). Interestingly even without a lock changing the Duty Cycle of the PLL works.


Clock_out

The second clock, clock_out1, is half the frequency of the first clock, and while it should be 50 MHz, it’s actually 9.1 KHz, this is still about half of clock_out’s 18.3 KHz, so the division factor is at least partially working.


Clock_out1


Obviously the PLL doesn’t have a lock and is thus pll_locked pin is low.

This exact project works just fine when put on the Arrow DECA Evalkit with a 10M50DAF484 FPGA, I just changed the device to synthesize for and adapted the .SDC file for the 50 MHz clock on the Arrow board instead of our 100 MHz clock. On the eval board the PLL works fine, outputting 50 and 25 MHz clocks (divison factor 1:1 and 1:2) and the PLL lock pin is high.

Further, in the Processing window of Quartus the following messages were generated during synthesis and fitting:

Info (332110): Deriving PLL clocks
Info (332110): create_generated_clock -source {inst|altpll_component|auto_generated|pll1|inclk[0]} -duty_cycle 50.00 -name {inst|altpll_component|auto_generated|pll1|clk[0]} {inst|altpll_component|auto_generated|pll1|clk[0]}
Info (332110): create_generated_clock -source {inst|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 2 -duty_cycle 50.00 -name {inst|altpll_component|auto_generated|pll1|clk[1]} {inst|altpll_component|auto_generated|pll1|clk[1]}

Info (332111): Found 4 clocks
Info (332111): Period Clock Name
Info (332111): ======== ============
Info (332111): 100.000 altera_reserved_tck
Info (332111): 10.000 inst|altpll_component|auto_generated|pll1|clk[0]
Info (332111): 20.000 inst|altpll_component|auto_generated|pll1|clk[1]
Info (332111): 10.000 master_clock

Our .SDC file looks like this:

create_clock -name master_clock -period 10.000 -waveform { 0.000
5.000 } [get_ports { master_clock}]
derive_pll_clocks
derive_clock_uncertainty

So it seems that Quartus is recognizing that it is generating two PLL outputs with the proper periods, but in reality it isn’t. We’ve tried about a million trouble-shooting steps but are completely baffled. We don’t understand why the same project can work on the Eval board but not on ours. We don’t think that it’s a hardware problem as all of our firmware works great, the only thing that doesn’t work are the PLL blocks and both boards have similar, single-ended clocks.

We appreciate any help you can provide us. Thanks in advance.

- wblee




write array of a data to sdram from nios using IOWR

$
0
0
Hi! I have a problem with writing data to sdram from nios using IOWR function. I have next code
for(i = 0; i < 500; i++) {
IOWR(DRAM_BASE + i, 0, i);
printf("0x0%x\n", DRAM_BASE + i);
}

Sdram is 64 MB. So, first time data is wrinting fine, but problem appers since 452 iteration. I don't know how to fix it problem, can anybody help, maybe i don't undestand how works IOWR function.

output is

452 Iteration
0x080001c8
L!0x080001ca
0x080001cc

Multiple USB Blasters per Host PC failure

$
0
0
Good afternoon,

I am currently using an FPGA MiniCluster (4 Gidel ProceV boards with StratixV FPGA) with OpenCL. The boards are connected through PCIe and each board has a dedicated USB Blaster. According to the board manufacturer, the FPGAs are configured with the USB Blasters on the first programming after the Host boots up. From then on, the reconfiguration is performed through CvP.

When programming any FPGA with more than one USB Blaster connected, the host machine enters an unresponsive state and has to be manually rebooted. However, when programming the FPGA connected to the USB Blaster identified as 1) by jtagconfig the programming succeeds.

Analyzing the output I noticed that regardless of the USB ports or FPGAs that are connected to each Blaster, the OpenCL SDK always issues the same programming command, choosing the first USB Blaster (as seen by jtagconfig).

Code:

Using AOCX: hello_world.aocx
MMD INFO : [acl3] failed to program the device through CvP.
MMD INFO : executing "quartus_pgm -c 1 -m jtag -o "P;reprogram_temp.sof@1""

Info: Command: quartus_pgm -c 1 -m jtag -o P;reprogram_temp.sof@1
Info (213045): Using programming cable "USB-Blaster [3-1]"

This leads me believe that OpenCL SDK doesn´t correctly translate the FPGA identifier (acl[0..3]) to the USB Blaster to which it is connected.

Is there any way to instruct the SDK to use the correct USB Blasters for each FPGA?

aocl program Output:

Code:

Querying platform for info:
==========================
CL_PLATFORM_NAME                        = Altera SDK for OpenCL
CL_PLATFORM_VENDOR                      = Altera Corporation
CL_PLATFORM_VERSION                      = OpenCL 1.0 Altera SDK for OpenCL, Version 14.1

Querying device for info:
========================
CL_DEVICE_NAME                          = ProceV_D8 : ProceV_D8
CL_DEVICE_VENDOR                        = GiDEL
CL_DEVICE_VENDOR_ID                      = 4466
CL_DEVICE_VERSION                        = OpenCL 1.0 Altera SDK for OpenCL, Version 14.1
CL_DRIVER_VERSION                        = 14.1
CL_DEVICE_ADDRESS_BITS                  = 64
CL_DEVICE_AVAILABLE                      = true
CL_DEVICE_ENDIAN_LITTLE                  = true
CL_DEVICE_GLOBAL_MEM_CACHE_SIZE          = 32768
CL_DEVICE_GLOBAL_MEM_CACHELINE_SIZE      = 0
CL_DEVICE_GLOBAL_MEM_SIZE                = 17179869184
CL_DEVICE_IMAGE_SUPPORT                  = false
CL_DEVICE_LOCAL_MEM_SIZE                = 16384
CL_DEVICE_MAX_CLOCK_FREQUENCY            = 1000
CL_DEVICE_MAX_COMPUTE_UNITS              = 1
CL_DEVICE_MAX_CONSTANT_ARGS              = 8
CL_DEVICE_MAX_CONSTANT_BUFFER_SIZE      = 4294967296
CL_DEVICE_MAX_WORK_ITEM_DIMENSIONS      = 3
CL_DEVICE_MEM_BASE_ADDR_ALIGN            = 8192
CL_DEVICE_MIN_DATA_TYPE_ALIGN_SIZE      = 1024
CL_DEVICE_PREFERRED_VECTOR_WIDTH_CHAR    = 4
CL_DEVICE_PREFERRED_VECTOR_WIDTH_SHORT  = 2
CL_DEVICE_PREFERRED_VECTOR_WIDTH_INT    = 1
CL_DEVICE_PREFERRED_VECTOR_WIDTH_LONG    = 1
CL_DEVICE_PREFERRED_VECTOR_WIDTH_FLOAT  = 1
CL_DEVICE_PREFERRED_VECTOR_WIDTH_DOUBLE  = 0
Command queue out of order?              = false
Command queue profiling enabled?        = true
Using AOCX: hello_world.aocx
MMD INFO : [acl3] failed to program the device through CvP.
MMD INFO : executing "quartus_pgm -c 1 -m jtag -o "P;reprogram_temp.sof@1""
Info: *******************************************************************
Info: Running Quartus II 64-Bit Programmer
    Info: Version 14.1.0 Build 186 12/03/2014 SJ Full Version
    Info: Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
    Info: Your use of Altera Corporation's design tools, logic functions
    Info: and other software and tools, and its AMPP partner logic
    Info: functions, and any output files from any of the foregoing
    Info: (including device programming or simulation files), and any
    Info: associated documentation or information are expressly subject
    Info: to the terms and conditions of the Altera Program License
    Info: Subscription Agreement, the Altera Quartus II License Agreement,
    Info: the Altera MegaCore Function License Agreement, or other
    Info: applicable license agreement, including, without limitation,
    Info: that your use is for the sole purpose of programming logic
    Info: devices manufactured by Altera and sold by Altera or its
    Info: authorized distributors.  Please refer to the applicable
    Info: agreement for further details.
    Info: Processing started: Fri Nov 17 15:04:26 2017
Info: Command: quartus_pgm -c 1 -m jtag -o P;reprogram_temp.sof@1
Info (213045): Using programming cable "USB-Blaster [3-1]"
Info (213011): Using programming file reprogram_temp.sof with checksum 0x08482697 for device 5SGSMD8K2F40@1
Info (209060): Started Programmer operation at Fri Nov 17 15:04:37 2017
Info (209016): Configuring device index 1
Info (209017): Device 1 contains JTAG ID code 0x029040DD
Info (209007): Configuration succeeded -- 1 device(s) configured
Info (209011): Successfully performed operation(s)
Info (209061): Ended Programmer operation at Fri Nov 17 15:05:35 2017
Info: Quartus II 64-Bit Programmer was successful. 0 errors, 0 warnings
    Info: Peak virtual memory: 1031 megabytes
    Info: Processing ended: Fri Nov 17 15:05:35 2017
    Info: Elapsed time: 00:01:09
    Info: Total CPU time (on all processors): 00:00:11

jtagconfig Output
Code:

1) USB-Blaster [3-1]
  029040DD  5SGSED8K(1|2|3)/5SGSED8N1/..

2) USB-Blaster [3-10]
  029040DD  5SGSED8K(1|2|3)/5SGSED8N1/..

3) USB-Blaster [3-2]
  029040DD  5SGSED8K(1|2|3)/5SGSED8N1/..

4) USB-Blaster [3-9]
  029040DD  5SGSED8K(1|2|3)/5SGSED8N1/..



dmesg | grep usb Output

Code:

usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb1: Product: EHCI Host Controller
usb usb1: Manufacturer: Linux 2.6.32-696.13.2.el6.x86_64 ehci_hcd
usb usb1: SerialNumber: 0000:00:1a.0
usb usb1: configuration #1 chosen from 1 choice
usb usb2: New USB device found, idVendor=1d6b, idProduct=0002
usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb2: Product: EHCI Host Controller
usb usb2: Manufacturer: Linux 2.6.32-696.13.2.el6.x86_64 ehci_hcd
usb usb2: SerialNumber: 0000:00:1d.0
usb usb2: configuration #1 chosen from 1 choice
usbcore: registered new interface driver hiddev
usbcore: registered new interface driver usbhid
usbhid: v2.6:USB HID core driver
usb 1-1: new high speed USB device number 2 using ehci_hcd
usb 1-1: New USB device found, idVendor=8087, idProduct=800a
usb 1-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
usb 1-1: configuration #1 chosen from 1 choice
usb 2-1: new high speed USB device number 2 using ehci_hcd
usb 2-1: New USB device found, idVendor=8087, idProduct=8002
usb 2-1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
usb 2-1: configuration #1 chosen from 1 choice
usb usb3: New USB device found, idVendor=1d6b, idProduct=0002
usb usb3: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb3: Product: xHCI Host Controller
usb usb3: Manufacturer: Linux 2.6.32-696.13.2.el6.x86_64 xhci_hcd
usb usb3: SerialNumber: 0000:00:14.0
usb usb3: configuration #1 chosen from 1 choice
usb usb4: config 1 interface 0 altsetting 0 endpoint 0x81 has no SuperSpeed companion descriptor
usb usb4: New USB device found, idVendor=1d6b, idProduct=0003
usb usb4: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb4: Product: xHCI Host Controller
usb usb4: Manufacturer: Linux 2.6.32-696.13.2.el6.x86_64 xhci_hcd
usb usb4: SerialNumber: 0000:00:14.0
usb usb4: configuration #1 chosen from 1 choice
usb 3-1: new full speed USB device number 2 using xhci_hcd
usb 3-1: New USB device found, idVendor=09fb, idProduct=6001
usb 3-1: New USB device strings: Mfr=1, Product=2, SerialNumber=3
usb 3-1: Product: USB-Blaster
usb 3-1: Manufacturer: Altera
usb 3-1: SerialNumber: 21162IOO
usb 3-1: configuration #1 chosen from 1 choice
usb 3-2: new full speed USB device number 3 using xhci_hcd
usb 3-2: New USB device found, idVendor=09fb, idProduct=6001
usb 3-2: New USB device strings: Mfr=1, Product=2, SerialNumber=3
usb 3-2: Product: USB-Blaster
usb 3-2: Manufacturer: Altera
usb 3-2: SerialNumber: 91d28408
usb 3-2: configuration #1 chosen from 1 choice
usb 3-9: new full speed USB device number 4 using xhci_hcd
SELinux: initialized (dev usbfs, type usbfs), uses genfs_contexts
usb 3-9: New USB device found, idVendor=09fb, idProduct=6001
usb 3-9: New USB device strings: Mfr=1, Product=2, SerialNumber=3
usb 3-9: Product: USB-Blaster
usb 3-9: Manufacturer: Altera
usb 3-9: SerialNumber: 91d28408
usb 3-9: configuration #1 chosen from 1 choice
usb 3-10: new full speed USB device number 5 using xhci_hcd
usb 3-10: New USB device found, idVendor=09fb, idProduct=6001
usb 3-10: New USB device strings: Mfr=1, Product=2, SerialNumber=3
usb 3-10: Product: USB-Blaster
usb 3-10: Manufacturer: Altera
usb 3-10: SerialNumber: 91d28408
usb 3-10: configuration #1 chosen from 1 choice

usb 3-13: new high speed USB device number 6 using xhci_hcd
usb 3-13: New USB device found, idVendor=0557, idProduct=7000
usb 3-13: New USB device strings: Mfr=0, Product=0, SerialNumber=0
usb 3-13: configuration #1 chosen from 1 choice
usb 3-13.1: new low speed USB device number 7 using xhci_hcd
usb 3-13.1: New USB device found, idVendor=0557, idProduct=2419
usb 3-13.1: New USB device strings: Mfr=0, Product=0, SerialNumber=0
usb 3-13.1: configuration #1 chosen from 1 choice
usb 3-13.1: ep 0x81 - rounding interval to 64 microframes, ep desc says 80 microframes
usb 3-13.1: ep 0x82 - rounding interval to 32 microframes, ep desc says 40 microframes

Altera Generic QUAD SPI controller Compatible Devices

$
0
0
Hi all,
I would like to use the Altera Generic QUAD SPI controller in my qsys design, and most of the drop down menu options for Config device types are obsolete. Can I use any of the SPI FLash memory that are available on Digikey/Mouser other than the ones that listed in the dropdown menu??

Thanks,
Shan

Adding output signals to DSP Builder exp conduit

$
0
0
I need to modify the input and output signals of my DSP builder component that are available under the exp conduit in Qsys. I currently have a single bool input and a single bool output.

When I add a new output in Simulink and rebuild, it doesn't show inside Qsys (I've tried bool and uint(32)). The system is updating (If I remove the existing output it no longer shows in Qsys).

Is there an additional step or setting to control the input and output signals? :confused:

Getting Started with OpenCL Programming on FPGA

$
0
0
Hi,

I am doing a dissertation using OpenCL on FPGA. I have set up the platform and managed to compile and execute sample programs on both emulator and device.

However I now need to start developing my own application and have no clue where to start. I have looked for basic Hello World examples but find even those very confusing.

I would appreciate if someone could point me in the right direction to start coding in OpenCL some very basic programs that I can then build upon like one would in normal C programming. I appreciate OpenCL is much more complicated so that may not be possible, and understand that this is a broad quesstion, but help would be appreciated regardless on how to make the process easier, would be grateful !

Thank you in advance

Tomas
Viewing all 19390 articles
Browse latest View live


Latest Images

<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>