Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

How to specify memory initialization files for multiple instances of one Nios design?

$
0
0
I want different applications running on multiple instances of a common Nios design. How do I specify unique memory initialization files? Put another way, how do I specify a memory initialization file outside of Qsys?
(I rather not have two Nios designs and two BSPs, different in name only.)


// for example:
// two instances of "nios_cpu..."
nios_cpu cpu0 (...); // this one should use cpu0_mem_init.hex
nios_cpu cpu1 (...); // this one should use cpu1_mem_init.hex


Thanks!

(This question was formerly posted as "Unique Memory Initialization Files for Multiple Nios Instances" but no useful replies were received.)

Different Applications On Common Nios Instances

$
0
0
I want different applications running on multiple instances of a common Nios design. How do I specify unique memory initialization files? Put another way, how do I specify a memory initialization file outside of Qsys?
(I rather not have two Nios designs and two BSPs, different in name only.)


// for example:
// two instances of "nios_cpu..."
nios_cpu cpu0 (...); // this one should use cpu0_mem_init.hex
nios_cpu cpu1 (...); // this one should use cpu1_mem_init.hex


Thanks!

(This question was formerly posted as "Unique Memory Initialization Files for Multiple Nios Instances" in the Nios General Discussion Forum but no useful replies were received.)

How to use EAB in Flex10K devices

$
0
0
Hi there

How to use EAB blocks in Flex10K devices for multiplication to save on resources.....

Thanks very much. I would appreciate a quick response. Many thanks

Cordic sincos function: how to depict a fixed point number as std_logic_vector type

$
0
0
Hello,

i want to use the Cordic sincos IPcore (https://www.altera.com/en_US/pdfs/li.../ug_cordic.pdf). The input is a std_logic_vector in the range of [-pi, pi] for signed configuration case.
The input consists of: 1bit for sign, 2 bits representing the range, and the rest is the number of fractional bits.

Now, my aim is to make sine calculations for theta from 0 to 360°.
I want to start by -pi and increment by 1° = 0.017453292 for 360 times to reach one period.

So to cut a long story short:
- how can i convert the fixed point value into std_logic_vector type?
- where do i know, where my fractional bits are beginning in the std_logic_vector representation?


Thanks in advance and best regards!

Elf-file stops loading just before InterNiche message

$
0
0
I had a working design until I bought and used
the TSE license. Now loading the elf-file hangs
after printing this line:

nios2-terminal: (Use theIDE stop button or Ctrl-C to terminate)

and just before this line (which was printed
by the working version):

InterNiche PortableTCP/IP, v3.1

Any idea how to solve this?

Thanks in advance,
Jos Groot


Burst operation in avalon bfm.

$
0
0
Hi,

I am doing burst write operation using avalon bfm.
For burst operaion shall i need to pass the data and address for all burst or bfm will automatically generate the data and address.
In below task i am only passing base address and the randomized data. But in simulation result I am getting only one data.

Please anyone give me some idea about this.





task automatic mm_send_cfg_write;\
input [34:0] addr;\
input int data[int];\
\
`MM_BFM.set_command_address(addr << 2);\
`MM_BFM.set_command_burst_count(8);\
`MM_BFM.set_command_burst_size(8);\
`MM_BFM.set_command_init_latency(0);\
`MM_BFM.set_command_request(REQ_WRITE);\
for(i=0;i<8;i++)
begin
`MM_BFM.set_command_data((data[i]),i);\
`MM_BFM.set_command_byte_enable(4'hf,i);\
end


`MM_BFM.set_command_idle(0, 0);\
`MM_BFM.set_command_timeout(0);\
`MM_BFM.push_command();\

while (`MM_BFM.get_response_queue_size() != 1)
@(posedge `MM_BFM.clk);


`MM_BFM.pop_response();\



$display("%0t MM Traffic Generator ID configuration WRITE: Addr: 0x%h Data 0x%h",$time, addr, data);\
$display("MM Traffic Generator ID configuration Write: Addr: 0x%h Data: 0x%h", addr, data);\
endtask

task data_init(output [31:0] data [int]);
for(i=0; i<8; i++)
data[i] = {$random(), $random()};
endtask



initial
begin
data_init();
mm_send_cfg_write('d0,wdata);

end


Thanks
venkat

Newbie Needs Help please

$
0
0
I was trying to simulate my design. It compiled fine and I actually downloaded to the DE2-115 board and it worked as expected. When I try to simulate the design I keep getting this message. The problem seems to be in the error message at the bottom but I can not figure out what to do. Any help will be appreciated. Thank you

Determining the location of the ModelSim executable...
Using: c:/intelfpga_lite/17.0/modelsim_ase/win32aloem/
To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options
Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used.
**** Generating the ModelSim Testbench ****
quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off dummy -c dummy --vector_source="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/Waveform.vwf" --testbench_file="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/simulation/qsim/Waveform.vwf.vht"
Info: ************************************************** *****************
Info: Running Quartus Prime EDA Netlist Writer
Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
Info: Copyright (C) 2017 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel MegaCore Function License Agreement, or other
Info: applicable license agreement, including, without limitation,
Info: that your use is for the sole purpose of programming logic
Info: devices manufactured by Intel and sold by Intel or its
Info: authorized distributors. Please refer to the applicable
Info: agreement for further details.
Info: Processing started: Mon Sep 04 11:45:46 2017
Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off dummy -c dummy --vector_source="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/Waveform.vwf" --testbench_file="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/simulation/qsim/Waveform.vwf.vht"
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Error (199014): Vector source file C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/Waveform.vwf specified with --testbench_vector_input_file option does not exist
Error: Quartus Prime EDA Netlist Writer was unsuccessful. 1 error, 1 warning
Error: Peak virtual memory: 521 megabytes
Error: Processing ended: Mon Sep 04 11:45:47 2017
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01

Error.

Newbie needs help please

$
0
0
I was trying to simulate my design. It compiled fine and I actually downloaded to the DE2-115 board and it worked as expected. When I try to simulate the design I keep getting this message. The problem seems to be in the error message at the bottom but I can not figure out what to do. Any help will be appreciated. Thank you

Determining the location of the ModelSim executable...
Using: c:/intelfpga_lite/17.0/modelsim_ase/win32aloem/
To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options
Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used.
**** Generating the ModelSim Testbench ****
quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off dummy -c dummy --vector_source="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/Waveform.vwf" --testbench_file="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/simulation/qsim/Waveform.vwf.vht"
Info: ************************************************** *****************
Info: Running Quartus Prime EDA Netlist Writer
Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
Info: Copyright (C) 2017 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel MegaCore Function License Agreement, or other
Info: applicable license agreement, including, without limitation,
Info: that your use is for the sole purpose of programming logic
Info: devices manufactured by Intel and sold by Intel or its
Info: authorized distributors. Please refer to the applicable
Info: agreement for further details.
Info: Processing started: Mon Sep 04 11:45:46 2017
Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off dummy -c dummy --vector_source="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/Waveform.vwf" --testbench_file="C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/simulation/qsim/Waveform.vwf.vht"
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
Error (199014): Vector source file C:/Users/marcu/Desktop/Fall 2017/Advanced Digital Design/DE2-115 Projects/Before_Class_Projects/dummy/Waveform.vwf specified with --testbench_vector_input_file option does not exist
Error: Quartus Prime EDA Netlist Writer was unsuccessful. 1 error, 1 warning
Error: Peak virtual memory: 521 megabytes
Error: Processing ended: Mon Sep 04 11:45:47 2017
Error: Elapsed time: 00:00:01
Error: Total CPU time (on all processors): 00:00:01

Error.

DE1-SoC Dev Kit (Trouble w/ USB Blaster II) (CentOS7)

$
0
0
I've just installed Quartus 16.1 Lite on CentOS7 x64 which is running inside VmWare Player as a VM. I can start up Quartus just fine. However, I'm having a rough time setting up USB Blaster II. I've used the following link in trying to setup USB Blaster but I'm lost on whether I'm missing any steps.

https://www.altera.com/support/suppo...usb_b-lnx.html

I configured this 51-usbblaster.rules file in the "/etc/udev/rules.d/" directory. The 51-usbblaster.rules files wasn't there to begin with so I just created it. There was no indication in the above link saying what to do if the file is not there. Therefore, I just assumed I should create it. I created it and added the entries that the link told me to. I followed the RedHat Linux Enterprise 5 section in that link because I didn't see a CentOS7 section of steps. The image attachment "rulesFile.png" shows what I have done.

(SEE ATTACHMENT "RULESFILE.PNG)

I can also see there are some driver files from when I installed Quartus but I'm not sure they are related to the USB Blaster II. The driver files are shown in the attachment "driverLocation.png". I haven't found any documentation or tutorial or link telling me how to run these driver installs I'm seeing so for now I haven't touched any of the executables in that directory. These executables can be seen in the attachment below.
(SEE ATTACHMENT "DRIVERLOCATION.PNG")

After create that file above I started up Quartus went into Tools->Programmer->Hardware Setup and tried to select an option for USB Blaster II but there is nothing there. How should I proceed. Are there any other essential drivers I should install to run Quartus? This "Getting Started with SocKit board" pdf mentions something about a FPGA SRAM Object File. Do I need to download that as well?



Attached Images

Cyclone V SoC Preloader will not run bare metal app

$
0
0
Hello all,

I've been working on this problem for several days now. I've read every website/pdf I can find (e.g. these forums, rocketboards, altera pdfs, etc, etc, etc, etc) regarding bare metal applications on Cyclone V SoC and I still can't get this to work. I've gotten pretty far but I've basically run out of steam. I usually never post on forums unless I've tried every possible thing I can think of and have totally run out of ideas and places to search. I think I must just be missing something very simple.

Here's what I've done so far (targetting DE10-Nano board):

1) Created basic HPS design with UART and SDMMC peripherals enabled and DDR3 settings configured same as golden reference design that was provided with the board

2) Built hardware design to .SOF without error and converted SOF to RBF so that it can be loaded by pre-loader

3) Using the 'hps_isw_handoff' I created the spl_bsp with bsp-editor and configured to boot from SDMMC

4) Compiled preloader using 'make' which generated preloader-mkpimage.bin without error

5) Ran alt-boot-disk-util to update pre-loader image on special partition of SD card

6) Created SD/MMC example C project in SoC EDS application (from https://www.altera.com/content/dam/a...-CV-GNU.tar.gz)

7) Compiled example C project to .AXF with no errors using baremetal GCC arm-altera-eabi- toolchain

8) Copied AXF file to SD card FAT partition and inserted SD card into board

9) Powered on board

Pre-loader uboot output is as follows:
Code:

U-Boot SPL 2013.01.01 (Sep 04 2017 - 20:01:43)
BOARD : Altera SOCFPGA Cyclone V Board
CLOCK: EOSC1 clock 25000 KHz
CLOCK: EOSC2 clock 25000 KHz
CLOCK: F2S_SDR_REF clock 0 KHz
CLOCK: F2S_PER_REF clock 0 KHz
CLOCK: MPU clock 800 MHz
CLOCK: DDR clock 400 MHz
CLOCK: UART clock 100000 KHz
CLOCK: MMC clock 50000 KHz
CLOCK: QSPI clock 3125 KHz
RESET: COLD
INFO : Watchdog enabled
SDRAM: Initializing MMR registers
SDRAM: Calibrating PHY
SEQ.C: Preparing to start memory calibration
SEQ.C: CALIBRATION PASSED
SDRAM: 1024 MiB
ALTERA DWMMC: 0


U-Boot 2017.03-rc2 (Mar 30 2017 - 19:07:16 -0700)

CPU:  Altera SoCFPGA Platform
FPGA:  Altera Cyclone V, SE/A6 or SX/C6 or ST/D6, version 0x0
BOOT:  SD/MMC Internal Transceiver (3.0V)
      Watchdog enabled
I2C:  timeout in enabling I2C adapter
timeout in enabling I2C adapter
ready
DRAM:  1 GiB
MMC:  dwmmc0@ff704000: 0
timeout in enabling I2C adapter
timeout in enabling I2C adapter
In:    serial
Out:  serial
Err:  serial
Model: Terasic DE10-Nano
Net:  No ethernet found.
Hit any key to stop autoboot:  0
=> run fpga_cfg
reading de10-nano.rbf
1952756 bytes read in 192 ms (9.7 MiB/s)
=> load mmc 0:1 0x01000000 sdmmc_example.axf
reading sdmmc_example.axf
669244 bytes read in 73 ms (8.7 MiB/s)
=> bootelf
CACHE: Misaligned operation at range [00100000, 0010f530]
CACHE: Misaligned operation at range [0010f530, 0010f534]
CACHE: Misaligned operation at range [0010f534, 0010f53c]
CACHE: Misaligned operation at range [0010f540, 0010fae0]
CACHE: Misaligned operation at range [0010fae0, 001104c8]
CACHE: Misaligned operation at range [001104e0, 003115a0]
## Starting application at 0x00100040 ...
INFO: System Initialization.INFO: Setting up Global Timer.INFO: Setting up SDMMC.RESULT: Some failures detected.

As you can see the pre-loader loads the FPGA design file. The configuration DONE light turns on so I know configuration is successful.

However, the example application fails. Clearly there are some cache issues but I have no idea what they mean or why they are happening. But then the example application starts and just fails with no indication as to why. I inserted some printfs into the example code and it fails at the call to alt_sdmmc_card_identify().

I'm not sure if the 0x01000000 address that I'm loading to makes sense. The only reason that I'm using it is because that's the address that the 'bootelf' command tries to load from.

It's obvious that the SD card peripheral is working since the pre-loader can read from it. I also tried changing the u-boot environment variables and writing them back to the SD card and that worked as well. So SD card read/write operation seems OK.

It's also obvious that the DDR is working because the pre-loader can clearly write the ELF file to the DDR at address 0x01000000 and the HPS can obviously read from it since the application does in fact run (just not successfully).

Can someone please help me figure this out?

Regards

P.S. I'm not interested in using the debugger to load the application. I need the fpga design and application to be loaded off of the SD card as I've shown. So please don't reply with "use the debugger and make a debug script" or similar. I need the board to boot and just start running without cables attached.

Fitter resource usage summary

$
0
0
Hi

I get the following utilization in Fitter resource summary:

that Clock pins - 3/2(150%)
Dedicated Input pins 7/4 (175%)

What does this exactly mean? Does this mean 3 clock pins are being used out of 2 and & dedicated pins are being used out of 4 But how can this be possible when there are 4 dedicated pins available or 2 clock pins available??

Is something going wrong?

Why the quotient always high resistance state when using the lpm_div

$
0
0
Hi, all,
i have a question on using the lpm_div, and added "lpm" lib to project, but the quotient always high resistance state.
Here is verilog file generated by "MegaWizard Plug-In Manager" tool.

// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module LPM_DIV (
clken,
clock,
denom,
numer,
quotient,
remain);

input clken;
input clock;
input [16:0] denom;
input [51:0] numer;
output [51:0] quotient;
output [16:0] remain;

wire [16:0] sub_wire0;
wire [51:0] sub_wire1;
wire [16:0] remain = sub_wire0[16:0];
wire [51:0] quotient = sub_wire1[51:0];

lpm_divide LPM_DIVIDE_component (
.clock (clock),
.clken (clken),
.denom (denom),
.numer (numer),
.remain (sub_wire0),
.quotient (sub_wire1),
.aclr (1'b0));
defparam
LPM_DIVIDE_component.lpm_drepresentation = "UNSIGNED",
LPM_DIVIDE_component.lpm_hint = "LPM_REMAINDERPOSITIVE=TRUE",
LPM_DIVIDE_component.lpm_nrepresentation = "SIGNED",
LPM_DIVIDE_component.lpm_pipeline = 1,
LPM_DIVIDE_component.lpm_type = "LPM_DIVIDE",
LPM_DIVIDE_component.lpm_widthd = 17,
LPM_DIVIDE_component.lpm_widthn = 52;
endmodule

Then, i have programmed "Testbench" file to test lpm_div module, code is as following.//file name:LPM_DIV_tb.sv
`timescale 1ns/1ns
module LPM_DIV_tb;

reg clk = 0;
reg signed[51:0] numer = 0;
reg [16:0] denom = 0;
wire signed[51:0] quotient;
wire [16:0] remain;
wire clken;
wire clock;

always #25ns clk = ~clk;
assign clock = clk;

always @(posedge clk)
begin
denom = denom + 1;
numer = numer + numer + denom;
end
assign clken = (denom >= 5);

LPM_DIV LPM_DIV(
.clken(clken),
.clock(clock),
.denom(denom),
.numer(numer),
.quotient(quotient),
.remain(remain));
endmodule

The result simulated by software "Questa Sim" is as following.
Attached Images

How to run OpenCL on BittWare 10AX115N3F40E2SG PCIe based FPGA

$
0
0
Hi,

I compiled an OpenCL kernel in emulation mode, Now i want to compile the OpenCL kernel in full flow(generating verilog & bitstream) and test it on real FPGA hardware.

we have BittWare Arria 10 : 10AX115N3F40E2SG PCIe based FPGA, can you provide us the steps how to test it on this FPGA.

Also, the pointers where we can get OpenCL BSP for 10AX115N3F40E2SG PCIe based FPGA.

Reduce clock frequency when system clock is dynamic

$
0
0
Hi, I would like to know a method to divide the clock to a certain frequency. I am aware of different methods of clock divider but the thing here is that the on-board system clock is dynamic (not fixed to a constant frequency) and I want to have a constant 10 Hz when running.
The CPLD I am using currently is 5m160ze64.

Any idea?

Thanks!

executable file error, acl_pll_init: Assertion 'wait_on_lock(pll)' failed

$
0
0
Hi,

When I executed the file ./helloworld generated by aocl, an error happened:

helloworld: acl_pll.c:380: acl_pll_init: Assertion 'wait_on_lock(pll)' failed.

Opencl worked fine earlier today and I didn't do anything unusual.

Could anyone solve this problem?

Flex&QuartusⅡVer9.0 [JTAG chain problem detected]

$
0
0
Hello,
Please refer to attached file.

I have a FlexSeries[EPF6024AQC240-2&EPC1441PCB] on Board,USB Blaster,Quartus software Ver9.0,Install Program[pof file&sof file]

And I want to Install Program file to [EPF6024AQC240-2&EPC1441PCB].
But appear error.
Error:JTAG chain problem detected
Error:No device detected
Error:The TD0 connection to the download cable might be shorted to GND or is an open circuit
Error:The TCK and TMS connections to the last device might have problem

Maybe It's in the state that ,can't communicate.
Is special setting needed there?

Please give me your advice.
Attached Files

Can I use my design in production if it compiled with OpenCore off?

$
0
0
Hello,

I am still a bit unsure about how the licensing works. I am a Quartus Lite user. I have a design that includes the PCIe Hard IP, the DDR3 SDRAM Controller with Uniphy, and now I am thinking about adding DSP functions Frame Buffer II and Clocked Video Input.
In this post: Tricky said that if I can generate an IP core, then I can use it, too. I have turned OpenCore off, and generated and compiled the design with the Frame Buffer and Clocked Video Input and got no errors. I have looked at the License Setup and it showed no dates or anything whatsoever.

The weird thing is, that these IPs are part of the Video and Image Processing Suite. As far as I know, that is included in the IP Base Suite(however, here it does not show up). This means, that for the Lite edition it has to be purchased separately, and as such, without OpenCore I shouldn't be able to generate/compile it.

Does successful generation/compilation with no license and OpenCore off mean/guarantee that my design will be usable in production without any license needed?

Best Regards,
Tibor

One or two bank memories issue

$
0
0
I am testing "hello_wolrd" example for cyclone V by using OpenCL sdk and "c5soc" profile board on the compiler. I followed the getting started guide and it works perfectly.

However, when I try to use another board profile as "c5soc_sharedonly" this does not work, the issue is exposed at the moment of running the host application and this indicate a "different name of device issue", so based on this issue, I edit the "board_spec.xml" name field to change just for c5soc (deleting "_sharedonly" part), and also the folder of the board was edited with c5soc only. By avoiding to have conflict with c5soc original board profile, I move the folder to another place. And again I compile but this time using "c5soc" board profile ( c5soc_sharedonly edited) its compile correctly but this time when I try to run host application linux get freezed.

Code:

root@socfpga:~# ./host
-sh: ./host: cannot execute binary file
root@socfpga:~# chmod +x host
root@socfpga:~# source ./init_opencl.sh
Error: could not insert module /home/root/opencl_arm32_rte/board/c5soc/driver/aclsoc_drv.ko: File exists
root@socfpga:~# ./host
Querying platform for info:
==========================
CL_PLATFORM_NAME                        = Altera SDK for OpenCL
CL_PLATFORM_VENDOR                      = Altera Corporation
CL_PLATFORM_VERSION                      = OpenCL 1.0 Altera SDK for OpenCL, Version 16.0


Querying device for info:
========================
CL_DEVICE_NAME                          = c5socCyclone V SoC Development Kit
CL_DEVICE_VENDOR                        = Altera Corporation
CL_DEVICE_VENDOR_ID                      = 4466
CL_DEVICE_VERSION                        = OpenCL 1.0 Altera SDK for OpenCL, Version 16.0
CL_DRIVER_VERSION                        = 16.0
CL_DEVICE_ADDRESS_BITS                  = 64
CL_DEVICE_AVAILABLE                      = true
CL_DEVICE_ENDIAN_LITTLE                  = true
CL_DEVICE_GLOBAL_MEM_CACHE_SIZE          = 32768
CL_DEVICE_GLOBAL_MEM_CACHELINE_SIZE      = 0
CL_DEVICE_GLOBAL_MEM_SIZE                = 1073741824
CL_DEVICE_IMAGE_SUPPORT                  = true
CL_DEVICE_LOCAL_MEM_SIZE                = 16384
CL_DEVICE_MAX_CLOCK_FREQUENCY            = 1000
CL_DEVICE_MAX_COMPUTE_UNITS              = 1
CL_DEVICE_MAX_CONSTANT_ARGS              = 8
CL_DEVICE_MAX_CONSTANT_BUFFER_SIZE      = 268435456
CL_DEVICE_MAX_WORK_ITEM_DIMENSIONS      = 3
CL_DEVICE_MEM_BASE_ADDR_ALIGN            = 8192
CL_DEVICE_MIN_DATA_TYPE_ALIGN_SIZE      = 1024
CL_DEVICE_PREFERRED_VECTOR_WIDTH_CHAR    = 4
CL_DEVICE_PREFERRED_VECTOR_WIDTH_SHORT  = 2
CL_DEVICE_PREFERRED_VECTOR_WIDTH_INT    = 1
CL_DEVICE_PREFERRED_VECTOR_WIDTH_LONG    = 1
CL_DEVICE_PREFERRED_VECTOR_WIDTH_FLOAT  = 1
CL_DEVICE_PREFERRED_VECTOR_WIDTH_DOUBLE  = 0
Command queue out of order?              = false
Command queue profiling enabled?        = true
Using AOCX: hello_world.aocx
Reprogramming device with handle 1

I am thinking that the RTE running over SOC-FPGA linux os is just compatible with two banks of ram memory project, because the c5soc_sharedonly profile has one bank memory and it is the only difference with c5soc board profile.

Someone has more information related to this. I will be deeply thankful for any help.

Width of Slave id(4) must be min 5. Increase slave ID width or reduce connected maste

$
0
0
Hello,
I have used the Arria 10 Soc design from rocketboard to which I am making few modifications. I am getting the following error in qsys when I exceed a particular number of connections.(to HPS)
The error is as follows:
Error: arria10_hps_f2sdram0_data: Width of Slave ID signals (4) must be atleast 5. Increase slave ID width or reduce widths for any connected AXI master
I would like to increase the slave ID width to solve this error. Now where and which parameter I have to change so that I am able to solve this error?

Thank you

Altera opencl support INT8?

$
0
0
Hi, I am new to the Opencl development and FPGA.
Currently, I plan to buy an Altera Board and develop it using OpenCL,
but I wonder if Altera can implement opencl 8INT primitive ??
Sorry if the question sounds stupid
Viewing all 19390 articles
Browse latest View live


<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>