Quantcast
Channel: Altera Forums
Viewing all articles
Browse latest Browse all 19390

TSE TimeQuest problem on DE2-115

$
0
0
hi all,
I've faced problem with one tutorial published by Altera " Testing Triple Speed Ethernet on DE2-115"
ftp://66.35.227.3/up/pub/Altera_Mate...d_ethernet.pdf

After compiling the project with no error except TimeQuest (SDC) file has a problem I think it's on clocking I tried to find a solution but no way. The photo below
timequest.jpg
Any I can't continue the rest of the tutorial specially when it comes to implement the tutorial on Altera Monitor Program
ip expire.jpg

The code below is (sdc) file which I think is the problem from it.
Code:

create_clock -period 20 [get_ports CLOCK_50]
derive_pll_clocks
derive_clock_uncertainty
set_clock_groups -exclusive -group [get_clocks pll_inst|altpll_component|auto_generated|pll1|clk[0]] -group [get_clocks pll_inst|altpll_component|auto_generated|pll1|clk[1]] -group [get_clocks pll_inst|altpll_component|auto_generated|pll1|clk[2]] -group [get_clocks pll_inst|altpll_component|auto_generated|pll1|clk[3]]

Could any one please tell me a solution to this problem specially Altera not update any solution yet.
Thanks in advance to all of you.
Attached Images

Viewing all articles
Browse latest Browse all 19390

Trending Articles