Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

Create multiple design partitions (more) easily

$
0
0
Hello,
does anyone know of a way (using a tcl script or something) to search the Project hierarchy and create a design partition around design entities matching a given name? I have to do this in order to work around a Quartus bug with multipliers (at Altera's suggestion) but I have many such multipliers and doing it by hand in the Project Navigator window is a little cumbersome (albeit doable). I have had to repeat the manual procedure a number of times however, so some form of automation would be useful.

Thanks for any suggestions,
Dave

EPM2210 state of I/O pins during configuration time of the CPLD upon power up

$
0
0
Regarding the MAX II CPLD family, the EPM2210 CPLD:
At power on during the configuration time of the CPLD, what is the default state of all its I/O pins?
From the datasheet, i have read that during the configuration time of the CPLD, all I/O pins are tri-state with an internal weak pull up.
I will like to verify if this is correct.
And if it is, can i configure these I/O pins to tri-state with a weak pull down instead.

Eclipse Kepler crashing. Quartus 15.1

$
0
0
Eclipse crashes very often and trows an error -805306369. In windows 8 system. I reinstalled Java, clean the projects, create a new workspace, but always crashes.

Attached is a printscreen of this error.

Attached Images

Altera Assignment problem

$
0
0
Hello;
In my design, I inferred a register with a clk-enable signal, but in the fitter report I found that this a high fan-out signal and affect the system critical path. Can i solve this problem with the Altera Assignment editor.

MAX+plus II 8.0

$
0
0
Ok, first off, I know that version 8.0 of MAX+plus II, from 1997, is old, obsolete, etc. However, I'm desperately trying to recreate a build of some old VHDL code in an attempt to reproduce a binary for a FLEX 8000 series (EPF8820A) part. To get the exact same results from the late 90s, I need to recreate the exact same build environment, which includes this specific version of MAX+plus II. I have tried a later version (10.1), but it did not produce identical results.

Altera support has been able to provide me with a copy of the version 8.0 software, but apparently their systems can no longer produce a compatible license file for this version.

Is there anybody out there that is still using version 8.0 or otherwise still has a license to this version that might be able to help me out to execute a build? I know it's a longshot, but...

Thanks,

- Jay

DSP Builder 15.1 : radix 2 streaming FFT's example is not found

$
0
0
i'm working on DSP BUILDER and while reading its handbook ' DSP BUILDER Advanced Blockset " ,especially the part concerns " DSP Builder FFT Design examples" i couldn't find in the library of DSP Builder the following examples :
- Radix 2 Streaming FFT : The model file is demo_fft16_radix2.mdl.
- Radix 4 Streaming FFT: The model file is demo_fft256_radix4.mdl.

could Anyone help me to figure out where can i get these example sin order to simulate them .... ???

P.S : i did a global search in the folder ' Altera Pro ' in order to get these examples but unfortunately i failed , No items found !

DSP Builder 15.1 : Parallel Floating-Point FFT

$
0
0
the DSP Builder Advanced Blockset's Handbook mentioned an example of FFT known as "Parallel Floating-Point FFT" and has as a model file " demo_parallel_fpfft.mdl"

and a second one named Variable-Size Floating-Point FFT, its model file is demo_fpvfft.mdl.

Could anyone give me an explanation of what these designs examples demonstrate ?

A switch to detect whether a project contains a separated design partition ?

$
0
0
Hi,

Quartus full compilation automatically run Partition Merge process if the project has a separated design partition. Anyone know how Quartus is able to determine whether the project has a separated design partition? Any switch to look for?

What I'm trying to do here is to mimic Quartus full compilation process (run Partition Merge if detected the project contain separated design partition) in my regression tests...for some reasons I can't use the Quartus TCL compilation flow API. That means I need to run

quartus_map
quartus_fit
quartus_sta
..

Thanks
OT

Fitter fails when placing ALTLVDS_RX instance on Arria V GX Starter Board

$
0
0
I am using the LVDS HSMC RX pins on the Arria V GX Starter Board, I have RX pins HSMA_RX_D[16:0] all to be clocked using HSMA_CLK_INP1 (all pins are LVDS). The incoming clock is 250MHz and the data is DDR but I need to have a deserialization factor of 4 (i.e. 125MHz output clock). I have tried to use the ALTLVDS_RX IP and regardless if I use an external PLL or have the PLL internal to the ALTLVDS_RX block I get an error during the fitting process after Analysis & Synthesis has succeeded:

Error (14566): The Fitter cannot place 1 periphery component(s) due to conflicts with existing constraints (1 PLL LVDS output(s)). Fix the errors described in the submessages, and then rerun the Fitter.
Error (175020): The Fitter cannot place logic PLL LVDS output that is part of Altera PLL pll_adc in region (169, 21) to (169, 24), to which it is constrained, because there are no valid locations in the region for logic of this type.
Info (14596): Information about the failing component(s):
Info (175028): The PLL LVDS output name(s): <PLL OUTPUT CLOCK AT DATA RATE>
Error (16234): No legal location could be found out of 4 considered location(s). Reasons why each location could not be used are summarized below:
Error (180002): Can't place PLL LVDS output because the SERDES DPA is already driven by 2 clock and clock enable pairs (4 locations affected)
Info (175029): PLLLVDSOUTPUT_X169_Y21_N2
Info (175029): PLLLVDSOUTPUT_X169_Y22_N2
Info (175029): PLLLVDSOUTPUT_X169_Y23_N2
Info (175029): PLLLVDSOUTPUT_X169_Y24_N2
Info (175013): The PLL LVDS output is constrained to the region (169, 21) to (169, 24) due to related logic
Info (175015): The I/O pad HSMC_CLKIN_p[1] is constrained to the location PIN_AN3 due to: User Location Constraints (PIN_AN3)
Info (14709): The constrained I/O pad is contained within a pin, which drives a fractional PLL, which drives this PLL LVDS output

Some things I tried:
1) I looked at http://www.alteraforum.com/forum/arc...p/t-42882.html ... So I tried ALTLVDS_RX module to use the "Regional Clock" setting and the "Auto" clock output setting (before specifying the PLL was external when using an external PLL).
2) http://www.alteraforum.com/forum/showthread.php?t=46028 solved a similar issue using two different ALTLVDS_TX modules, I did the same except used one module for each input data pin
3) https://www.altera.com/support/suppo...82.tablet.html mentioned using a buffer so I did that:

arriav_pll_lvds_output #(
.pll_loaden_enable_disable("true"),
.pll_lvdsclk_enable_disable("true"))
arriav_pll_lvds_output_inst (
.ccout({clkadc_rxen, clkadc_data}),
.loaden(lvds_clken),
.lvdsclk(lvds_clkdata)
);
lvds_adc rx_adc_lvds (
.rx_inclock(lvds_clkdata),
.rx_enable(lvds_clken),
.rx_in(HSMC_RX_p[14:2]),
.rx_out(adc_lvds_out[51:0])
);
I got the following error:

Error (14566): The Fitter cannot place 1 periphery component(s) due to conflicts with existing constraints (1 PLL LVDS output(s)). Error (175020): The Fitter cannot place logic PLL LVDS output in region (169, 21) to (169, 24), to which it is constrained, because there are no valid locations in the region for logic of this type.
Info (14596): Information about the failing component(s):
Info (175028): The PLL LVDS output name(s): arriav_pll_lvds_output_inst
Error (16234): No legal location could be found out of 4 considered location(s). Reasons why each location could not be used are summarized below:
Error (180002): Can't place PLL LVDS output because the SERDES DPA is already driven by 2 clock and clock enable pairs (4 locations affected)

Am I doing something wrong? Is there something I am missing? Please note, if only one pin data pin is being clocked using the ALTLVDS_RX module it will work, my issue is it will not fit if I have multiple data pins (either using multiple ALTLVDS_RX blocks or using one multilple channel ALTLVDS_RX block)

ALTACCUM Megafunction not in Quartus II v 13.0.1

$
0
0
Hi there

I want to include an accumulator ino a design, but the ALTACCUM Megafunction seems to be missing. The ALTMULT_ACCUM is still present, but i don't want to waste the multiplier and add unnecessary latency. Is it possible to install the ALTACCUM Megafunction is some way. Has anyone else had this problem?

Regards,
stu84

pausing PCI HIP transactions with avalon mm waitrequest

$
0
0
Hi, i'm trying to know how the
PCI HIP responds to an incoming waitrequest from an module connected to the BAR[0]. I have an avalon mm slave connected to BAR[0] and i wanna know if an asserted waitrequest would implicitly pause the PCI host (a X86) from writing into my module's registers. Will a waitrequest on my slave component come up through the PCI HIP as a pause signal like #TRDY ? Do i have to manage the pauses myself or is this an automatic mechanism.
I hope i'm being clear,
Thanks

Resource Property comb equation

$
0
0
I was just looking deeper into a part of my design. I can't work out how Quartus is deriving the Combout Equation for this
Labcell. See my picture.

The Equation says ( F & ( B ) ) # ( !F ) which from my understanding says F AND B OR NOT F

From following the drawing, !Data F is used as the select for the mux. The input to the mux is
always B. It would seem that no matter what logic sense F was, the output would always
be B.

Can anyone shed any light on what Quartus is up to this time?

C
Attached Images

What happened to vhdl.org?

$
0
0
I was looking for the fixed-point libraries for fixed-point and floating-point arithmetic's from David Bishop, they used to be here http://www.vhdl.org/fphld/vhdl.htmbut now the website is gone. Any idea where can i get the package? or what happened to the website?

Thanks.

help with SDRAM

$
0
0
Hello
I need to load my code on SDRAM and run it but I don't know how to do it exactly (I already defined SDRAM on qsys) !! :(
Help me with a clear tuto or doc about that ?!
PS: using quartus 13.0 as well as nios ii web edition
much appreciated !

Didital Down Converter

$
0
0
Hello.
I am developing the DDC (Digital down converter).
I am using standard Altera IP core (NCO, CIC, FIR).
Signal after mixer, decimate by CIC (decimation coefficient 1920) and then by FIR (decimation coefficient 2) [Please see the attached file].

1) CIC_out_valid signal using us clock for FIR filter. Is it correct ?
2) Please tell me how to constraint the LRCK_32KHz Port. [SDC File is attached]

I am hope for your help.
Thanks.
Attached Files

Disable caching for some memory parts

$
0
0
Hi

I have a TCP/IP stack running on my NIOS. The big part of the data goes directly from the FPGA-HW to a DDR3 memory,
the stack has only to add some addresses etc. Is it somehow possible to forbid caching of some variables? It is just
too slow if I have to flush my whole dcache before every DMA-transfer.

Thanks for any help

(CycloneV) Writing 128bits to FPGA at once using linux kernel module

$
0
0
Hi,

I'm trying to write a kernel module that writes 128bits of data to the FPGA using the HPS-to-FPGA bridge. The bridge width is configured as 128 Bits in Qsys and I'm using memcpy_toio() to write data onto the bus. Using the SignalTap anaylzer I can see that the transfer happens in 32bit chunks every 10 cycles or so. What am I doing wrong? Is there a way to write 128bits at once and thereby increase the throughput?

Thanks in advance for your help!

EDIT: Some additional information: I'm working with a De0-Nano-SoC Development board using a Linux 4.1 kernel and an Angström rootfs.

High fan-out signal

$
0
0
Hello;
After compiling my design in qurtusII, I found this signal "clk~inputclkctrl" have a high fan out. the node"clk" is the clk signal in my design. what can I do to solve this problem.
Thanks.

range sensor implementing

$
0
0
I would to implement the ultrasonic sensor srf05 into my fpga board DE0 NANO.

Preciously, in the SOPC demo example.

I m use the verilog code for hardware programming.

i should to know that if it's the C program or the veriolg program or the twice that I will modify for that.


Thank you for your help.

Waveform Editor - odd inversion

$
0
0
Hi,
here is my code for a simple 8-bit counter with a decoded low signal for 1 clock period.



signal Count: std_logic_vector(7 downto 0) := X"00";
if falling_edge(Bit_Clk_in) then
Count <= std_logic_vector( unsigned(Count) + 1);
end if;
if Count(4 downto 0) = 24 then
WritEn <= '0';
end if;
if Count(4 downto 0) = 25 then
WritEn <= '1';
write_address <= (write_address + 1) MOD 8;
end if;



I think this should produce a low pulse every 32 clock cycles but when I run a functional simulation in Waveform Editor the signal WriteEn is actually displayed as a single high going pulse.

Has anybody else seen any thing like this? It is as if Waveform Editor has decided to invert the WriteEn signal for some reason

any suggestions gratefully received
PhilipJ
Viewing all 19390 articles
Browse latest View live


<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>