Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

how to count only adjacent(linked) 1's quantity in 7X7 matrix buffer using fpga?

$
0
0
hello.
i'm studying image processing using fpga.
i attached 7x7 matrix image.
yellow is 1 and white is 0.
normally i can count all 1's quantity in 7x7 matrix included un-linked 1, but i can't count only adjacent(linked) 1's quantity except un-linked 1.
would you let me know how to do?
if there are some solution like IP, where can i buy that one?
please help me.
thank you.

from korea.
Attached Images

de0-Nano SPI slave implementation VHDL

$
0
0
Hi all,

I just got hold of a DE0-Nano board. I'm trying to implement a very simple SPI (nano as slave). At the moment I just want to be able to continuously latch in a value, and then read it back out using an MCU, confirming there is communication at a basic level. I have assigned pins (just using the pin planner, naming the GPIO's im using) hooked up and programmed the board, I know my MCU SPI code works, but I notice I'm not getting anything on my MISO at all.. despite correct operation using a vector waveform analysis.

I'm wondering if there are additional steps to do with configuring the pins that I have not taken, or if I need to enable some system clock? I have some experience using VHDL and the DE0-Nano, but that was at uni, using templates provided.

Code is below for reference. All im doing is looking for the rising edge of what would be SCLK on a GPIO input, and shifting through bits while device select is low.

Thanks for any help, I'll be busy reading the instruction manual in the mean time.

Cheers, Chris.




Code:


library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;






entity SPI1 is


port
(


        RESET : in std_logic;
       
        SERIAL_CLK : in std_logic;
        SERIAL_IN : in std_logic;
        SLAVE_SELECT : in std_logic;
       
        PARALLEL_OUT : out std_logic_vector(7 downto 0) := "00000000";
        SERIAL_OUT : out std_logic := '0'


);
end entity SPI1;








architecture v1 of SPI1 is


        signal DATA : std_logic_vector(7 downto 0) := "00000000";
        signal BIT_COUNT : integer range 0 to 8 := 0;
        type LATCH is (enabled, disabled);
        signal LATCH_STATE : LATCH := disabled;
       
begin


        process(SERIAL_CLK, RESET, SLAVE_SELECT)
       
        begin
       
               
                if(rising_edge(SERIAL_CLK)) then
                       
                        if(SLAVE_SELECT = '0') then
                                       
                                if(BIT_COUNT <=7) then
                               
                                        SERIAL_OUT <= DATA(7);
                                        LATCH_STATE <= disabled;
                                        DATA <= DATA(6 downto 0) & SERIAL_IN;
                                        BIT_COUNT <= BIT_COUNT + 1;
                               
                                end if;
                                       
                        elsif(SLAVE_SELECT='1' and BIT_COUNT = 8) then
                       
                                BIT_COUNT <= 0;
                                SERIAL_OUT <= '0';
                                LATCH_STATE <= enabled;
                       
                        end if;
                end if;
               
        end process;
       
        process(LATCH_STATE)
       
        begin
       
                if(LATCH_STATE = enabled) then
                        PARALLEL_OUT <= DATA( 7 downto 0);
                end if;


        end process;
       


end architecture v1;

How to transfer data from FPGA to HPS and vice-versa in Cyclone V

$
0
0
Hii Everyone,
I've been looking everywhere and could not find any resources to help me.

I am designing Ethernet board with Cyclone V(5CSEBA5U19A7N) using Quartus 15.1 Prime Standard & DS-5.
I need to send data from FPGA to HPS and vice-versa.Which bus we have to use,which thing we have to change
and edit,finally how to transfer data.If u have any documents or links from scratch regarding to my query,
plz forward and help me.

Thanks & Regards,
Chethan.

Primitives calling out cyclone iii primitives, can't find device support install

$
0
0
Hello,

I'm trying to simulate a project using modelsim. I have Altera 16.0.0.211 Lite Edition, targeting a cyclone iv part.

The source is instantiating "altpll.v" which does exist in my Altera install. However, when compiling this file in modelsim, it complains that "cycloneiii_pll" does not exist. I can't find source code in my install for this such that I can compile the dependent file. All I can find is "cycloneiii_pll.inc" and "cycloneiii_pll_info.xml", but I'm not sure what to do with these files.

I thought that I needed to download the device support for cyclone iii, but the tools take me to a "Download Center" page in which the cyclone iii is not an option for downloading.

What is the right move to be able to simulate my design?

Comparison between versions of Quartus II

$
0
0
Hi,

I am new to Altera FPGAs. What is the difference between Pro, Standard and Lite editions of Quartus II? Also What is the Altera equivalent of Xilinx Chipscope?

Thanks,
Arvind Gupta.

Running Max 10 Development Kit Installer

$
0
0
Received my Max 10 Development kit, Rev C, and downloaded the development kit installer.

It (dev kit installer) consists of a zip file. After extracting, but there is no executable to run.....

Do I simply create a 'kits' sub directory under my quartus intallation and extract everything there?

Stratix V GS DSP Development Kit - XCVR Reference Clock SMA's

$
0
0
I am developing a project using the Stratix V GS DSP development kit. I need to deliver a clock to the high speed transceivers on the HSMC ports, however due to the design of the dev kit, all but one of the dedicated reference clock pins are not accessible to external reference clocks (don't know why it was designed that way!). In my current design I have been using one of the dedicated PLL clock inputs to drive an FPLL by the transceivers, the output of which can in turn drive the CMU PLLs in the transceiver bank. The trouble with this approach is there is theoretically quite a lot of jitter as a result - an LVDS input, the global clock network, two PLLs, and then the transceiver clock networks.

On the board there are a pair of SMA connectors (CLKIN) which upon examining the schematic feed through a low jitter clock multiplexer and in to the dedicated reference clocks of the transceiver bank. However I am struggling to understand the termination scheme used. Attached is an image from the schematic.

term.jpg

Each SMA (which I presume is 50 Ohm?) is terminated with a 100 Ohm resistor to ground (as opposed to differential between the SMAs!). Then both are AC coupled using 100nF capacitors and then terminated again using a 124 Ohm resistor to GND and an 84.5 Ohm resistor to 3.3V.

By my calculation, the bias termination after the AC coupling (124 || 84.5) equates to an effective 50 Ohm termination which on its own would make sense. However if we add in the effect of the DC coupled 100 Ohm termination, that equates to an overall input impedance of 33 Ohm!

I don't understand why a pair of 50 Ohm SMA connectors which are supposedly differentially routed would have not only a single-ended termination each rather than differential, but also why this termination would be effectively 33 Ohm which will cause a massive impedance mismatch.

Is there something I'm missing?
Attached Images

CPLD for capturing image from OV5640

$
0
0
Could you please let me know which CPLD I could use for interfacing OV5640 camera? I'm working on the project controlling camera and saving its image data. In this project I have a strong requirement on power consumption and I hope that te CPLD doesn't cnsume more than 04W. For I2C communatin I culd use a low power MCU. But for capturing imags from the image sensor I need to use CPLD. So I'm wondering if EPM570 or 5M570 can do the job. I'm afraid that I don't have experience in FPGA/CPLD. A the moment I can't use the power estimator. Also It is impossible for me to estimate how many logic cells I would need for my project. It would be very appreciated if you could help me.

The expected data rate is like the folowings
pixel clock:96Mhz
data rate = 1280 x 960 x 10bis x 30Hz / 8bits = 46MB/s


And this is the datashet of the OV5640 image sensor
https://cdn.sparkfun.com/datasheets/..._datasheet.pdf

DE1-SoC SDRAM Timing Parameters For QSYS

$
0
0
Is there a definitive source for the timing parameters to enter into QSYS for the DDR3 SDRAM on the HPS?

Regards,
Tony.

how to remove warning(11106) Warning (11106): Shared VREF is used as GPIO ().

$
0
0
Hello All,

For one of our older FPGA i am planning to add some interface. but before that i have selected an fpga from arria 10 family, while the total no of gpio i need is 270 and fpga family that i selected has more than 350. At first a compiled it with the new family from arria 10 without any gpio assignment so that the tool can do that on its own. but when i checked the fitter report i got a warning saying(got 6 warning like that)

"Warning (11106): Shared VREF Y6 is used as GPIO (pin SFP_txdis[0]). This action reduces fMAX performance of this pin."

Further when i checked in pin planner i found that the tool is applying three pins to vref pin while the fpga had many pins that are left free.
Searched web but couldnot find any solution. Please tell if there is any setting so that default pin assignment does not put up pins at vref pin area

Interaction with Phy chip on Altera's Arria V GX board for TSE initialization

$
0
0
Hi,
I want to interact with Triple speed Ethernet using Altera's Arria_V GX( Device : 5AGXFB3H6F35C6 ) Development board but getting an issue while interacting(i.e TSE RX could not receive packet),I am not sure about phy chip working properly or not,if someone have .c code related to Arria V GX Phy chip or one can provide me path in that direction.


Thanks and Regards,
Mehul

USB Blaster cable fails to programm, causes PC to restart

$
0
0
I recently used a USB blaster cable for programming my CPLD (MAX V family) using Quartus Prime lite edition. The problem that I am facing is that during the first two-three attempts of using the programmer, it successfully programmed the CPLD. But, now as I attempt to burn the code on the CPLD, Quartus shows programming failed and causes my computer to restart. Since then, I am unable to use the cable for programming. Kindly help me on this issue.

Altera Max V Kit: Why output voltage is only about 330mV ?

$
0
0
Hi
I'm using a Max V Kit. I want to set a std_logic output pin with a high value (1) and I expected to see a votlage about 3.3V. However the votlage from the output pin is only 330mV as observing from an oscilloscope.

I tried several times but it always shows 330mV. Why does it occur? Do I miss any setting ?

Thanks
Bien

Max V: Voltage overshoot is too high ?

$
0
0
Hi
Can someone explain to me why the voltage overshoot of Max V is so high? and how to improve this voltage overshoot ?
Here is an example I captured from an oscilloscope. In this case the undershoot of rising edge and overshoot of falling edge are almost at the same voltage level.



Thanks.
Bien
Attached Images

Missing items in board_spec.xml for Cyclone V SoC Dev Board

$
0
0
I have a Cyclone V SoC dev board and am trying to work out how to 'connect' the two Ethernet ports in my kernel.

The AOCL programming guide indicates that the board_spec.xml file should contain lines such as the following:

<channels>
<interface name="udp_0" port="udp0_out" type="streamsource" width="256" chan_id="eth0_in" />
</channels>

However my board_spec.xml dos not have any such interfaces.

Do I have an incorrect board_spec.xml file? Is there any way to update it?

Thanks in advance

Cycnlone 5 Hard memory controller with quartus lite-edition

$
0
0
I wonder if it is possible to use the hard memory controller found in some of cyclone 5 series with the lite edition of the Quartus tool. I mean if it is free without buying the pro edition.
Is this possible?

Thanks

Testing on unprogrammed blank FPGAs?

$
0
0
As a non-destructive testing, if we want to analyse the un-programmed blank devices for their default output values and also to verify their electrical characteristics as per the data sheet, do any of Altera's commercial FPGA boards support such facilities??? Do Altera follow such test practices on any FPGAs/ SoCs to ensure against hardware trojan attacks??

RTL Component for an OpenCL Library Example

$
0
0
Hello,
I'm trying to integrate a RTL Module (VHDL/Verilog) in a OpenCL Kernel as a helper function, as it's described in the Chapter 2 "Altera SDK for OpenCL Advanced Features", section "OpenCL Library" of the "Altera SDK for OpenCL, Programming Guide" (2016.05.02).
This guide quotes an example, in the section: "Using an OpenCL Library that Works with Simple Functions (Example 1)", but this example isn't available in the web:
https://www.altera.com/support/support-resources/design-examples/design-software/opencl.html

I've had some problems with the kernel compilation when I use the RTL function, so I'm interested in acquire that example as a reference.

Thanks

Versions:
Quartus II 16.0.211
Altera SDK for OpenCL 16.0.211

need help syntax with sdc

$
0
0
hi,
i get a problem working with sdc, i think it's only a syntax one.

my top is :
Code:

   
u1 : enet_rx_clk_pllport map(
inclk0        => enet_rx_clk,
c0            => enet_rx_clk_270deg,--0 
c1            => enet_tx_clk_mac, --90
c2            => enet_tx_clk_phy,--180
locked        => open
);

and sdc is like :
Code:

create_clock -period 8 -name "ENET0_RX_CLK" [get_ports ENET0_RX_CLK]

derive_pll_clocks


create_generated_clock -name tx_data_clock -source [get_pins \ {u1|inclk0}] [get_pins \ {u1|c0}]
create_generated_clock -name pll_output_tx -phase 90 -source [get_pins \ {u1|inclk0}] [get_pins \ {u1|c1}]

At fitter, it says : Warning (332174): Ignored filter at test_0.sdc(25): test_0|u1|c0 could not be matched with a pin
I hope someone could help me,
cheers

Board signals

$
0
0
Hi,

I'm working with a Cyclone II board from Knjn and suspect something isn't right with some signals coming from the board.

All I'm trying to do is to use the LPM_COUNTER as a frequency divider. Depending on the frequency, the amplitude isn't the same and most importantly the signals do not look square at all.

Could you please have a look at the pic attached and let me know if it seems alright ? It should be a 1.5 MHz square signal. The frequency part looks alright to me however the square part isn't neat.

I've tried it on two different boards, on several pins, with different probes.

I would be very grateful for any help.

Best regards,

Jennifer
Attached Images
Viewing all 19390 articles
Browse latest View live


<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>