Hello, I have a DE1-SoC board connected to my computer. But, when I click to Hardware Setup there is no any hardware. How could I solve this? This is my first time to use this.
Thanks,
Thanks,
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ram_160x32_dp is port(
-- Inputs
clk : in std_logic; -- Clock
en : in std_logic; -- Sync enable
we0, we1 : in std_logic; -- Write enables
addr0, addr1 : in std_logic_vector(7 downto 0); -- Addresess
din0, din1 : in std_logic_vector(31 downto 0); -- Data input
dout0, dout1 : out std_logic_vector(31 downto 0) -- Data output
);
end ram_160x32_dp;
architecture beh of ram_160x32_dp is
type mram is array (159 downto 0) of std_logic_vector(31 downto 0);
signal mem : mram; -- Memory array 160x32
begin
--Dual port RAM model
process(clk)
variable n : integer;
begin
if rising_edge(clk) then
-- Memory is written and read synchronoulsy
-- when enabled
if en = '1' then
n:=conv_integer(addr0);
-- Write port 0
if we0 = '1' then
mem(n) <= din0;
dout0 <= din0;
else
-- Read port 0
dout0 <= mem(n);
end if;
end if;
end if;
end process;
process(clk)
variable n : integer;
begin
if rising_edge(clk) then
-- Memory is written and read synchronoulsy
-- when enabled
if en = '1' then
n:=conv_integer(addr1);
-- Write port 1
if we1 = '1' then
mem(n) <= din1;
dout1 <= din1;
else
-- Read port 1
dout1 <= mem(n);
end if;
end if;
end if;
end process;
end beh;
module debounce_explicit(input clk, reset, sw, output reg db_level, db_tick); //symbolic state declaration
localparam [1:0]
zero = 2'b00,
wait0 = 2'b10,
one = 2'b11,
wait1 = 2'b01;
// number of counter bits (2'N * 20ns = 40ms)
localparam N = 21;
//localparam N = 15;
// signal declaration
reg [1:0] state_reg, state_next;
reg [N-1:0] q_reg;
wire [N-1:0] q_next;
wire q_zero;
reg q_load, q_dec;
// FSMD state & data registers
always @(posedge clk, posedge reset)
if (reset)
begin
state_reg <= zero;
q_reg <= 0;
end
else
begin
state_reg <= state_next;
q_reg <= q_next;
end
// FSMD data path (counter) nextstate logic
assign q_next = (q_load) ? {N{1'b1}} : ((q_dec) ? q_reg - 1 : q_reg);
// status signal
assign q_zero = (q_next == 0);
// FSMD control path nextstate logic
always @*
begin
state_next = state_reg; // default state: the same
q_load = 1'b0; // default output: 0
q_dec = 1'b0; // default output: 0
db_tick = 1'b0; // default output: 0
case (state_reg)
zero:
begin
db_level = 1'b0;
if (sw)
begin
state_next = wait1;
q_load = 1'b1;
end
end
wait1:
begin
db_level = 1'b0;
if (sw)
begin
q_dec = 1'b1;
if (q_zero)
begin
state_next = one;
db_tick = 1'b1;
end
end
else // sw==0
state_next = zero;
end
one:
begin
db_level = 1'b1;
if (~sw)
begin
state_next = wait0;
q_load = 1'b1;
end
end
wait0:
begin
db_level = 1'b1;
if (~sw)
begin
q_dec = 1'b1;
if (q_zero) state_next = zero;
end
else // sw==l
state_next = one;
end
default: state_next = zero;
endcase
end
endmodule
Error (14566): Could not place 1 periphery component(s) due to conflicts with existing constraints (1 Channel PLL(s))
Error (175020): Illegal constraint of Channel PLL that is part of Cyclone V Transceiver Native PHY altera_xcvr_native_av to the region (0, 40) to (0, 42): no valid locations in region
Info (175028): The Channel PLL name(s): sFPDP_CONTROLLER:inst_sfpdp_controller4|sFPDP_XCVR:CMP_sFPDP_XCVR|sFPDP_PHY:CMP_sFPDP_PHY|altera_xcvr_native_av:sfpdp_phy_inst|av_xcvr_native:gen_native_inst.av_xcvr_native_insts[0].gen_bonded_group_native.av_xcvr_native_inst|av_pma:inst_av_pma|av_rx_pma:av_rx_pma|rx_pmas[0].rx_pma.rx_cdr
Info (175015): The I/O pad iSFPDP_XCVR_RX3 is constrained to the location PIN_L2 due to: User Location Constraints (PIN_L2)
Info (14709): The constrained I/O pad is contained within a pin, which is contained within a Receiver channel, which contains this Channel PLL
Error (178014): Partition assignments may be preventing transceiver placement - transceivers optimizations across partitions are not supported in this version of the Quartus II software. For more information, refer to the Release Notes.
Error: s0: Error during execution of "{C:/intelfpga_lite/16.1/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt": child process exited abnormally
Error: s0: Execution of command "{C:/intelfpga_lite/16.1/quartus/../nios2eds/Nios II Command Shell.bat} make all 2>> stderr.txt" failed
Error: s0: ]2;Altera Nios II EDS 16.1 [gcc4]C:/intelfpga_lite/16.1/quartus/bin64/uniphy_mcc -ac_code sequencer_mc/ac_rom.s -inst_code sequencer_mc/inst_rom.s -ac_rom ../C5G_QSYS_mem_if_lpddr2_emif_s0_AC_ROM.hex -inst_rom ../C5G_QSYS_mem_if_lpddr2_emif_s0_inst_ROM.hex -header sequencer/sequencer_auto.h -vheader ../sequencer_auto_h.sv -ac_rom_init sequencer/sequencer_auto_ac_init.c -inst_rom_init sequencer/sequencer_auto_inst_init.c -DAC_ROM_MR1_CALIB=01100011000000010000 -DAC_ROM_MR1=01100011000000010000 -DAC_ROM_MR2=00000101000000100000 -DAC_ROM_MR3=00000010000000110000 -DQUARTER_RATE=0 -DHALF_RATE=0 -DFULL_RATE=1 -DNON_DES_CAL=0 -DGUARANTEED_READ_BRINGUP_TEST=0 -DMEM_ADDR_WIDTH=10 -DHARD_PHY=1
Error: s0: UniPHY Sequencer Microcode Compiler
Error: s0: Copyright (C) 2016 Intel Corporation. All rights reserved.
Error: s0: Info: Reading sequencer_mc/ac_rom.s ...
Error: s0: Info: Reading sequencer_mc/inst_rom.s ...
Error: s0: Info: Writing ../C5G_QSYS_mem_if_lpddr2_emif_s0_AC_ROM.hex ...
Error: s0: Info: Writing ../C5G_QSYS_mem_if_lpddr2_emif_s0_inst_ROM.hex ...
Error: s0: Info: Writing sequencer/sequencer_auto_ac_init.c ...
Error: s0: Info: Writing sequencer/sequencer_auto_inst_init.c ...
Error: s0: Info: Writing sequencer/sequencer_auto.h ...
Error: s0: Info: Writing sequencer/sequencer_auto.h ...
Error: s0: Info: Writing ../sequencer_auto_h.sv ...
Error: s0: Info: Microcode compilation successful
Error: s0: C:/intelfpga_lite/16.1/quartus/../nios2eds/sdk2/bin/nios2-bsp hal sequencer_bsp .. --default_sections_mapping sequencer_mem --use_bootloader DONT_CHANGE
Error: s0: Error: 0x80070057
Error: s0:
Error: s0:
Error: s0:
Error: s0: child process exited abnormally
Error: s0: Cannot find sequencer/sequencer.elf
Error: s0: An error occurred
while executing
"error "An error occurred""
(procedure "_error" line 8)
invoked from within
"_error "Cannot find $seq_file""
("if" then script line 2)
invoked from within
"if {[file exists $seq_file] == 0} {
_error "Cannot find $seq_file"
}"
(procedure "alt_mem_if::util::seq_mem_size::get_max_memory_usage" line 14)
invoked from within
"alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf""
invoked from within
"set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequencer" "sequencer.elf"]]"
("if" then script line 2)
invoked from within
"if { !$do_only_rw_mgr_mc && !($bfm_mode || $hps_mode)} {
set calc_mem_size [alt_mem_if::util::seq_mem_size::get_max_memory_usage [file join "sequenc..."
(procedure "generate_qsys_sequencer_sw" line 924)
invoked from within
"generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0 $nios_hex_file_name $ac_rom_init_file_name ..."
invoked from within
"set seq_mem_size_list [generate_qsys_sequencer_sw $prepend_str $protocol $pre_compile_dir $fileset $inhdl_dir $rdimm $lrdimm 0 0 $nios_hex_file_name ..."
("if" else script line 2)
invoked from within
"if {[::alt_mem_if::util::qini::qini_value alt_mem_if_seq_size_request 0] > 0} {
set seq_mem_size [::alt_mem_if::util::qini::qini_value alt_mem_if_se..."
(procedure "alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer" line 238)
invoked from within
"alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}"
invoked from within
"set qsys_sequencer_files_list [alt_mem_if::gen::uniphy_gen::generate_qsys_sequencer "${name}" $protocol $tmpdir $fileset {}]"
(procedure "alt_mem_if::gen::uniphy_gen::generate_sequencer_files" line 3)
invoked from within
"alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "LPDDR2" $tmpdir QUARTUS_SYNTH"
invoked from within
"foreach generated_file [alt_mem_if::gen::uniphy_gen::generate_sequencer_files $name "LPDDR2" $tmpdir QUARTUS_SYNTH] {
set file_name [file tail $gene..."
(procedure "generate_synth" line 8)
invoked from within
"generate_synth C5G_QSYS_mem_if_lpddr2_emif_s0"
Error: mc_fifo: wrong # args: should be "generate output_name" while executing "generate synth altera_avalon_multi_channel_shared_fifo"
Where "mc_fifo" is the qsys module name Avalon Multi-Channel Shared FIFO