Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

Having trouble constraining a bidirectional port in TimeQuest.

$
0
0
I've written a module to control the operation of an I2C bus where the SDA line is a bidirectional port. I've attempted to constrain it with these SDC commands:

Code:

set_output_delay -clock i2c_clk -min 0.0 [get_ports {FPGA_I2C_SDAT}]
set_output_delay -clock i2c_clk -max 0.0 [get_ports {FPGA_I2C_SDAT}]
set_input_delay -clock i2c_clk -max 0.0 [get_ports {FGPA_I2C_SDAT}]
set_input_delay -clock i2c_clk -min 0.0 [get_ports {FGPA_I2C_SDAT}]

where i2c_clk is a generated clock:

Code:

create_generated_clock -source [get_ports CLOCK_50] -divide_by 126 -name i2c_clk [get_nodes {i2c:i2c_inst|i2c_clk}]
CLOCK_50 is the 50MHz input clock to the design, i2c:i2c_inst|i2c_clk is used because I instantiate the I2C module in a top level module.

The output delay seems to work fine, but I'm getting issues with the input delay. I'm getting the error messages "FPGA_I2C_SDAT could not be matched with a port" (even though it works fine for output) and "Argument <targets> is an empty collection". Additionally, when I check my unconstrained input ports TimeQuest gives "FPGA_I2C_SDAT" (i.e. exactly as I have it in the constraint). I thought it might be an error caused by specifying output and input delays on the same port, but if I comment out the output delay it still gives the same error for the input delay.

I noticed in Ryan Scoville's TimeQuest User Guide on page 114 that internal clocks should not be used to constrain IO ports. However, this clock is exported (or at least a combinational derivative of it that accounts for when SCL should be active and when it shouldn't be active according to the I2C standard) and synchronizes the components outside the FPGA. Furthermore, if I replace this with a virtual clock of the same period I get the same error, so it doesn't look like that's the issue.

If any part of this question is unclear I'd be happy to clarify/post more code. Thanks in advance.

Unable to constrain bidirectional port.

$
0
0
I have a module that is used to control the operation of an I2C bus. One of the outputs in the module is a bidirectional SDA line. I've attempted to constrain the design using the SDC commands:

Code:

set_output_delay -clock i2c_clk -min 0.0 [get_ports {FPGA_I2C_SDAT}]
set_output_delay -clock i2c_clk -max 0.0 [get_ports {FPGA_I2C_SDAT}]
set_input_delay -clock i2c_clk -max 0.0 [get_ports {FGPA_I2C_SDAT}]
set_input_delay -clock i2c_clk -min 0.0 [get_ports {FGPA_I2C_SDAT}]

where i2c_clk is created with:

Code:

create_generated_clock -source [get_ports CLOCK_50] -divide_by 126 -name i2c_clk [get_nodes {i2c:i2c_inst|i2c_clk}]
CLOCK_50 is a 50MHz input clock and {i2c:i2c_inst|i2c_clk} comes from the fact that my design instantiates the i2c module in a top level module.

The output delay seems to work fine. However, I'm getting errors associated with the input delay: "Warning (332174): Ignored filter at lab03.sdc(22): FGPA_I2C_SDAT could not be matched with a port" and "Warning (332049): Ignored set_input_delay at lab03.sdc(22): Argument <targets> is an empty collection". I thought this may have been an issue because I am specifying both input and output delays on the same port, but when I comment out the output delays I get the same error. Additionally, when I run TimeQuest to see the unconstrained input ports, it gives "FPGA_I2C_SDAT" (i.e. exactly the same as input I'm trying to constrain).

I noticed in Ryan Scoville's TimeQuest User Guide on page 114 that internal clocks should not be used to constrain IO ports. However, if I try it with a virtual clock of the same frequency I get the same error.

If any of this is unclear let me know and I'll clarify/post more code. Thanks in advance.

generate the uboot.img

$
0
0
Hii ,

Can someone help me by telling me how to generate the "uboot.img", i generated the "preloader-mkpimage.bin" file but i don't know how to generate th "uboot .img"
Im using DE1 soc board? the EDS v16 and quartus v16.

thank you in advance

FAE Chat: Max10 ADC designs

$
0
0
Does anyone know of any working examples of ADC designs in a MAX10 device? Especially video using external memory and temp sense.

Thanks
Danny

HLS Compiler support with cygwin/gcc

$
0
0
Is it possible to use HLS compiler (i++) with Gnu toolchain on Windows with cygwin?

Also, why does keyword "HLS" yield zero results on the forum search? Is Intel trying to buy HLS?

ALTACCUMULATE not working in Quartus 17.1

$
0
0
I am migrating from Quartus 13.1 on a 32 bit Windows 7 to 17.1 on 64 bit Windows 10.
My 1000 lines of AHDL code involving LVDS at 960MHz with a Nios II processor and tons of altera megafunctions have done well and I should thank Intel for the continued support for AHDL. I am continuing to use AHDL in my new design with Cyclone 10.

Well all work fine except
ALTACCUMULATE.
This is a pretty simple block.
INCLUDE "Altaccumulate";
acc : ALTACCUMULATE WITH (WIDTH_IN = 16, WIDTH_OUT = 18, LPM_REPRESENTATION = "UNSIGNED");



The outputs are always stuck to Gnd.
Any help making this megafunction continue to work is future editions is appreciated.
Ravi

Where is the 322.265625 MHz clock on the Arria 10 SoC Dev Kit?

$
0
0
All,

I'm testing the Intel Low Latency MAC 10G IP core with the 10GBASE-R Register Mode PHY and it requires a ref clock of 322.265625 MHz into a PLL (Figure 30, UG-20016 | 2018.05.16, https://www.altera.com/documentation...753448747.html)

However, I couldn't find information as to which clock pin of the FPGA (10AS066N3F40E2SG) on the Arria 10 SoC Dev Kit (DK-SOC-10AS066S-A) has the needed frequency. The dev kit's schematic does not say anything about a clock of 322.265625 MHz. Could you please point me to the relevant docs that have the info?

Thanks
Arintel

Stratix 10 JTAG to Avalon Master Bridge Exception

$
0
0
I am attempting to use the JTAG to Avalon Memory Map Master Bridge IP core with a Stratix 10 Signal Integrity Kit but when I program the board with the System Console I get an exception saying that no SLD nodes will be created for the device; I have also tried programming the board with the standalone programmer and communicate with the core through the system console but in that instance there is no exception but no reads or writes will finish.

I first noticed this error with the 10G Ethernet MAC example design and have also created a project that only contains the JTAG core, a PIO, and clock and reset source that throws the same exception. When I remove the JTAG core from the example design it programs without issue but I am unable to test the rest of the functionality because the JTAG core is the only way I have to communicate with the chip.

SPI by other descriptions

$
0
0
Hello all. I'm about to venture into my first SPI design for FPGA - and i'd like to scour the forums for information. When i search the forums for SPI the results are 0.

What are the key words i should search Altera/Intel for examples and explanations on SPI implementation?

Thank so much for your help and comments.

Max 10: Lvds

$
0
0
I do like to have such a functionality in my design that could detect presence of data on LVDS lines and assert a flag. And in case no data is being received it can deassert the flag. I am using MAX-10 device to implement this design. Does the differential line go into high impedance state if no data is available? or if someone can guide what else can be done to implement this functionality.

128 bit master to 32 bit slave

$
0
0
Hi ,
I am connecting a master whose data width is 128 bit. I am connecting it to a slave whose data width is 32 bit.

Master output is Avalon interface
When I trigger a data from the master, it is triggering 4 writes on slave.(32*4 =128).But that will overwrite the data in the next address. How do I tell my interconnect to consider only LSB 32 bit? Is there any setting that can be modified?

generate and compile the device trees

$
0
0
Hii every one,

Im trying to migrate and let the DDR3_RTL project of DE10 Nano board works on the DE1-SOC. I change the pin and regenerate the preloader and uboot. so refering to this link https://rocketboards.org/foswiki/Doc...BeginnerSGuide i think that i have to regenrate also the device tree file .

can somenoe help me on how te regenerate the device tree i m using DE1-soc board and EDS v 16.0 also quartus v16.0.


any suggestions will be appreciate.

PISO reg issue

$
0
0
Hello there.

I am trying to implement PISO register with this code (dout and tmp are regs)

Code:

always @(posedge sclk)
begin
       
    if (cs == 0)
    begin
        dout <= tmp[15];
        tmp <= { tmp [14:0], 1'b0 };
    end
end

[15:0] tmp loaded elsewhere. So i need to convert parallel tmp in serial dout. During sim I saw that pic related: dout become tmp on second cycle, why?
Well, seems like i created two regs and they sequentially loaded (on first clk tmp, on second it is given to dout) but how to make them work together? I cannot name tmp "wire", gtkwave give error. Please, help me
Attached Images

EthernetBlaster

$
0
0
Hi

Does anyone have an EthernetBlaster that they no longer use and would like to sell?

If so please reply to my post.

Please note that I'm not after an EthernetBlaster II.

Thanks

Error (209040): Can't access JTAG chain

$
0
0
Hello,

I am trying to use the DE0-Nano board with Quartus Prime 18.0.0 (Linux) and I am experiencing problems when I try to load the .sof file to the board.
The loading always fails and the only output message from console is:

Code:

Error (209040): Can't access JTAG chain
Error (209012): Operation failed

It's likely to be a problem related to the software itself or some bad configuration, because I remember I used the board a few years ago, but now it's impossible...

The "Load" LED beside the "Power" LED turns on when I try to upload the file, but always fails..

Do you have any helpful suggestion to solve this problem ?
Many thanks in advance.

Regards,
simozz

FIR Coefficient Reload Problmes

$
0
0
I've already posted an reply with a specific question regarding this problem into an old thread (https://www.alteraforum.com/forum/sh...ad.php?t=56082), but I think, I should start a new thread, because these issues might not even be related.

Here is the problem. I have an FIR filter in a Nios 2 QSYS system (created with FIR II). The streaming inputs and output are connected to FIFOs (which are written by the processor) and the filters seems to work correctly (with the preconfigured coefficients in QSYS).
However, I want to dynamically change these values over the provided MM interface. My issue is that writing to this interface has no effect and reading deadlocks the whole system.

Has anybody ever got this working?
I am using Quartus version 18.0 (but I've also tried 17.0) and my target platform is a Cyclone IV (DE2-115 board).

Soc/HPS based on DE10-Nano : how do I program a DPR and/or UART

$
0
0
Hello everyone,
I am in a process of porting my application from a MAX10/DE10-Lite/NIOSII environment
(https://github.com/pdp11gy/DEC-RL02-RL01-disk-emulator) to an SoC/HPC environment
based on the DE10-Nano board. At first I worked successfully with the DE0 Nano-SoC
board, but it did broke and I had to get the replacement board , DE10-Nano. And again,
here is a lot different. My main problem is in the I / O area. The PIO's are working
fine, but i have Problems with the Dual-Ported-Ram(DPR) and with an additional UART.
I am NOT able to copy data to and from the DPR via memcpy

In a NIOSII environment , it did work fine like this example:
#define DPRAM_BASE 0x00100000 // Base-Address DPR
#define DPRAM DPRAM_BASE
memcpy((void *)(DPRAM), &RLDRIVE.rl_drive_i[0], 11520);

In the SoC/HPC environment.
int fd; // Hold FPGA address
void *virtual_base; // Virtual addr that maps to physical
void *DPR_addr; // Dual Ported Ram address
.
DPR_addr = virtual_base + ( ( unsigned long )( ALT_LWFPGASLVS_OFST + DPR_BASE )
& ( unsigned long)( HW_REGS_MASK ) );
I did try the memcpy as following:
memcpy((void *)(DPR_addr), &RLDRIVE.rl_drive_i[0], 11520); // Not working
memcpy((void *)(uint32_t *)DPR_addr, &RLDRIVE.rl_drive_i[0], 11520); // Not working
.... and a lot of more tries.....but without success
Enclosed , please find the complete test c-programm.

Also, I am not able to load my .rbf file using de10_nano_linux. The following script
did work fine with the DE0-Nano-SoC unix but on the de10_nano_linux , I miss the Pass
/sys/class/fpga-bridge/fpga2hps/enable ....
#!/bin/sh
echo 0 > /sys/class/fpga-bridge/fpga2hps/enable
echo 0 > /sys/class/fpga-bridge/hps2fpga/enable
echo 0 > /sys/class/fpga-bridge/lwhps2fpga/enable
dd if=RL_EMULATOR.rbf of=/dev/fpga0 bs=1M
echo 1 > /sys/class/fpga-bridge/fpga2hps/enable
echo 1 > /sys/class/fpga-bridge/hps2fpga/enable
echo 1 > /sys/class/fpga-bridge/lwhps2fpga/enable
My workaround is, to generate a .jic file and use the EPCS mode. I hope it has no influence

Any hint is welcome and many thanks in advance,
Reinhard
Attached Files

Current Measurement on Cyclone 10 GX Development Kit

$
0
0
Hello, I am studying how the Current Measurement was done on the Cyclone 10GX Development kit. I'm looking at the schematics page 38 and I don't understand what the Sense Pad V1 is and I don't see it on the BOM list. If anyone is familiar with this measurement please let me know the purpose of the SENSE PAD and how would one put it on a design?

Thanks,
Joe

problem in installing quartus ii on ubuntu 16.04

$
0
0
HI I am intrying to install quartus ii on ubuntu 16.04 and getting the following error

11.0sp1_quartus_free_linux.sh: line 396: altera_installer/bin/altera_installer_gui: No such file or directory


Please help the .sh file has completed the installation of 3.3GB

HLS run Quartus compile FAILED.

$
0
0
My HLS quartus complies fails with following error, although synthesis is successfully.


Info: Running Quartus Prime Synthesis
Info: Version 17.1.0 Build 240 10/25/2017 SJ Pro Edition
Info: Processing started: Mon Jul 23 09:02:12 2018
Info: Command: quartus_syn --read_settings_files=off --write_settings_files=off quartus_compile -c quartus_compile
Info: Using INI file /home/tempuser11/counter/counterDUT1.prj/quartus/quartus.ini
Info: qis_default_flow_script.tcl version: #1
Info: Initializing Synthesis...
Info: Project = "quartus_compile"
Info: Revision = "quartus_compile"
Info: Analyzing source files
Error (19286): No license for family Arria 10
Error: Flow failed: ERROR: Current design not found


Error: Quartus Prime Synthesis was unsuccessful. 2 errors, 0 warnings
Error: Peak virtual memory: 868 megabytes
Error: Processing ended: Mon Jul 23 09:02:12 2018
Error: Elapsed time: 00:00:00
Error: Total CPU time (on all processors): 00:00:01
Error (293001): Quartus Prime Full Compilation was unsuccessful. 4 errors, 0 warnings
Error: Flow compile (for project /home/tempuser11/counter/counterDUT1.prj/quartus/quartus_compile) was not successful
Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.


Error (23031): Evaluation of Tcl script /D/intelFPGA_pro/17.1/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 11 errors, 0 warnings
Error: Peak virtual memory: 696 megabytes
Error: Processing ended: Mon Jul 23 09:02:14 2018
Error: Elapsed time: 00:00:14
Error: Total CPU time (on all processors): 00:00:05
Viewing all 19390 articles
Browse latest View live


<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>