Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

Warning (10492): But it's a clocked process

$
0
0
I don't understand why I have this warning.

Warning (10492): VHDL Process Statement warning at top.vhd(890): signal "sLS" is read inside the Process Statement but isn't in the Process Statement's sensitivity list

Testchip_process : process (iRESETb, iMCK1)
begin
if iRESETb = '0' then
oCPGA68_A7 <= sLS;
elsif rising_edge(iMCK1) then
case stoto is
when toto2 =>
oCPGA68_A7 <= sLS;
when Toto1 =>
oCPGA68_A7 <= '0';
when others => null;
end case;
end if;
end process Testchip_process;

It's a clocked process, I don't need to have sLS in process sensitivity

PCIe master transfers from dedicated dual-ported memory

$
0
0
Has anyone tried interfacing the PCIe master logic to a dedicated dual ported memory block?
So you'd tell the logic the address in the dual ported memory, the PCIe address (64bit),
the transfer length (maybe limited to a single TLP), and the direction and then read a status
bit for completion.

This ought to be simpler (and use a lot less resources) than the schemes that need a DMA engine with an internal fifo large enough for the PCIe data bursts.

The transfers themselves would be scheduled by other logic (eg a nios cpu) which could an fpga-side data copy for data that doesn't permanently reside in the correct memory block.

Download Elf file failed

$
0
0
Hi,

i've got another problem with running hello world on nios ii. I use Altera cyclone IV EP4CE22E22C8 in a board with a epcs flash, a sdram and a 60MHz Clock.
My problem is after builduing the system in Qsys and compile the project without errors in the quartus software and generate the BSP file in the NIOS II Software Build Tool for Eclipse and compile the programm without errors. I run the program and get the Error Download ELF file failed.

Where is my mistake?

strange behavior of DCFIFO, how to meet the "Functional Timing Requirements"

$
0
0
Hi,

My DCFIFO on Cyclone V is broken. Data is lost. depends on FIFO type, MLAB or M10k, data corruption is severer as FIFO larger.



I wrote to DCFIFO like this:
if(~fifo_full)
fifo_wrreq <= 1'b1
else
fifo_wrreq <= 1'b0;

The above code not work.

I change it like this:
if(~fifo_wrusedw[7])
fifo_wrreq <= 1'b1;

and this works perfectly.

Page 13 of ug_fifo says:

Deassert the wrreq signal in the same clock cycle when the wrfull signal isasserted.
■ Deassert the rdreq signal in the same clock cycle when the rdempty signal is
asserted

My question is how can I deassert wrreq in the SAME cycle?

Can anybody provide some example code?

Thanks!

Final year project question, PCIe interface to GPIO?

$
0
0
Hello everyone,

My group members and I are starting our final year project for computer engineering this week and I have a question about PCIe data transfers to the FPGA.
We are provided with an Altera DE2-70 or DE2-115. We worked with these baords before and are familiar with a lot of their features. What we are not familiar with is the GPIO. We plan to use the DE2-115 and for our application we need to transfer a lot of data to and from the board very quickly. We originally considered using the Gigabit Ethernet on the board however we are afraid it will be insufficient and we will data-starve the board. We are now considering using PCIe from the host computer but our boards do not have a PCIe interface. So the current idea is to get a PCIe riser cable, chop it up, and make a connector to the DE2s GPIO. Ultimately, can this be done? Can we go from PCIe to GPIO (i.e. through the use of some free libraries)?

Another question is we plan to use OpenCL to communicate with the board. Would this PCIe -> GPIO still be supported in OpenCL? We have no problem writing our own kernel and are not tied to using the provided altera SDK.

Resetting FPGA with NIOS processor programmed

$
0
0
Hello,

I have a Cyclone EP3C FPGA configured with a NIOS processor and some I/O's.
Is there somebody who can explain to me how I can reset the FPGA and the NIOS processor.
Do I need to connect come external reset-circuity to the FPGA or to the reset_n pin which is connected to the NIOS-processor.

Thank you for any responses and best regards,

Wamor

Backspace character in System Console

$
0
0
I am testing some memory using System Console and I would like to make a simple progress bar which will show current progress. For that I would need a backspace character.

The Tcl interpreter from Centos 7 works as expected:

Code:

[jan@localhost ~]$ tclsh
% puts $tcl_version
8.5
% puts "abc\bd"
abd



But System Console gives this:
Code:

% puts $tcl_version
8.5


% puts "abc\bd"
abcd


Is there any way I can delete current characters or maybe whole line in System Console?

__constant cache size

$
0
0
Hi folks,

What is the practical limit of the __constant cache size?

I have a constant 16MiB buffer that's needed. If I use the option "--const-cache-bytes 16777216", is it going to fill all in cache? What type of resource does the cache take?

Thanks,

Smith

a question about the precharge operation of hpc ii?

$
0
0
hi ,i have a question ,and please help me
now, i am using the hpcii to control a ddr2 sdram, and there is a selection "auto-precharge" on the megawizard of the hpcii .
if I do not select the "auto-precharge" selection,and i will operate the same row for 100 times (burst length is 4 in full rate), whether the hpcii will issue the precharge command after every burst read or write operation in the 100 times or not ? and whether this kind of operation will influence the cfficiency?

And if I select the "auto-precharge" selection, and I will not assert auto-precharge signal at the first 99 times,and only assert the auto-precharge signal at the last time of the 100 operations ,and whether the operation like that will increase of efficiency ?

Quartus II ver 14 for 32bit linux

$
0
0
Dear Sir,

I need to clarify because [1] is very confusing to me.
I wish to run latest Web Edition Quartus II on 32bit linux (Debian Wheezy).

a). Does it mean Quartus II ver 14.0 run on 64bit OS only?
b). Does it mean ModelSim only available as 32bit binary?
c). If this is the case, what is the latest version of Quartus II that runs on 32bit linux?

From [2] it doesn't specify the OS must be 32bit.


1. http://www.altera.com/download/os-su...oss-index.html
2. http://dl.altera.com/?edition=web

"auto-refresh" selection of hpcii is enbled,but the local_refresh_req is set 0 ?

$
0
0
in the megawizard of hpcii, if the "auto-refresh" selection of hpcii is enbled,but the local_refresh_req signal is set 0 ?
what will happen?
whether the hpcii will issue the refresh command or not?

Cyclone III EP3C25 - Pin 141 can't drive signal ?

$
0
0
Hello everybody

I have a problem with a Cyclone III EP3C25E144I7N FPGA.

I try to affect an output (UART_TX) to the pin 141.
Observing it with a scope, the output stays at 1.

When I change the pin, for the pin 144 for example, the output is the expected one (UART data).

I look the EP3C25 pin information to check if the pin 141 was a specific pin (pll, clk, vdd ?) : it seems to be not the case.

Is there a non-documented defect or specific usage with the pin 141 ?


Regards
--
bploujoux

Cyclone V SX SoC - DMA Controller Peripheral Request Interface

$
0
0
Hi guys,

I am trying to find out how the DMA peripheral request interface could be used.
In the HPS component Interface description(cv_54028) and in the DMA Controller description(http://www.altera.com/literature/hb/...v/cv_54016.pdf) is no information how the fpga peripheral request interface must handled.

My specific question is how is the burst length determined at FPGA logic peripheral requests??
In the DMA Controller description is Peripheral Length Management and DMA controlled length management possible.
I need Peripheral Length Management, but there are not the same signals like in the DMA Controller Interface description...:confused:

I hope someone have experience with this. Thanks a lot for your Support !


(From HPS component Interface description)
Quote:

Peripheral Signal Interfaces
The DMA controller interface allows soft IP in the FPGA fabric to communicate with the DMA controller
in the HPS. You can configure up to eight separate interface channels.
• f2h_dma_req0—FPGA DMA controller peripheral request interface 0
Each of the DMA peripheral request interface contains the following three signals:
• f2h_dma_req—This signal is used to request burst transfer using the DMA
• f2h_dma_single—This signal is used to request single word transfer using the DMA
• f2h_dma_ack—This signal indicates the DMA acknowledgment upon requests from the FPGA

For more information, refer to the DMA Controller chapter in the Cyclone V Device Handbook, Volume 3.

cyclone iii nCEO pin

$
0
0
why can't i use the pin nCEO(EP3C40F324I7) as a user defined input?

timing problem 1

$
0
0
timing problem 1
hi everyone:
in my design, there is a pll to provide clk for outside sdram.
my generate clk in sdc file
create_generated_clock -name {SDRAM_CLK} -source [get_pins {inst2|altpll|sd1|pll7|clk[0]}] -master_clock {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[0]}
create_generated_clock -name {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[0]} -source [get_pins {inst2|altpll|sd1|pll7|inclk[0]}] -duty_cycle 50.000 -multiply_by 4 -phase 288.000 -master_clock {EXT_CLK} [get_pins {inst2|altpll|sd1|pll7|clk[0]}]
create_generated_clock -name {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[1]} -source [get_pins {inst2|altpll|sd1|pll7|inclk[0]}] -duty_cycle 50.000 -multiply_by 4 -master_clock {EXT_CLK} [get_pins {inst2|altpll|sd1|pll7|clk[1]}]
create_generated_clock -name {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[2]} -source [get_pins {inst2|altpll|sd1|pll7|inclk[0]}] -duty_cycle 50.000 -multiply_by 2 -master_clock {EXT_CLK} [get_pins {inst2|altpll|sd1|pll7|clk[2]}]


in order to constraint the output delay i do the follows:
#************************************************* *************
# Set Maximum Delay
#************************************************* *************


set_max_delay -from [get_clocks {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[0]}] -to [get_ports {sdram_clk0}] 3.000




#************************************************* *************
# Set Minimum Delay
#************************************************* *************


set_min_delay -from [get_clocks {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[0]}] -to [get_ports {sdram_clk0}] 0.000


the from clk's name is strange because i use the derive_pll_clocks -use_tan_name.


then the timing analyzer shows error, Registers to outputs error:








i am so confused :
1.my contraint is the max and min delay from the output of the dll to the fpga output pin, why there are Register to Outpus (setup) and Register to Outpus (hold) error?
2.according to my generate clk :
create_generated_clock -name {SDRAM_CLK} -source [get_pins {inst2|altpll|sd1|pll7|clk[0]}] -master_clock {myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[0]}
so in the error report,the from clk :myqsys:inst2|myqsys_altpll:altpll|myqsys_altpll_a ltpll_99h2:sd1|wire_pll7_clk[0] and the to clk :SDRAM_CLK, i think the are one clk.
Attached Images

Qsys - perl generate issue

$
0
0
Hi, i'm new at this forum and i have a issue which i can't handle on my own.

Does anyone know how to solve this problem?

Thanks for any kind of help :)
Attached Images

march=prototype?

$
0
0
there is a video on the altera site where prototyping is demonstrated. This would be very useful, is it going to be available sometime soon?

Linker - scripting section mappings

$
0
0
Hi,

I am trying to automatize the generation of my application in a gui-less environment as follows:

  • app:
    • create-this.app.sh
    • *.c (sources)
    • *.h (headers)

  • bsp:
    • create-this-bsp.sh
    • settings.tcl


All is good until I get to the linker assignments: I did not find any possibility to specify where .bss .text .rodata .rwdata .heap would be placed.
The only solution that was working for a while was passing the "default_sections_mapping" parameter to the nios2-bsp command in create-this-bsp.sh

NIOS2_BSP_ARGS="--default_sections_mapping onchip_ram"

I am in a situation where this solution is no longer sufficient: I must use 2 smaller on-chip RAMs (onchip_ram_1 and onchip_ram_2) and assign specific sections of the application to each of these RAMs.
So far I was not able to find a solution.

I would appreciate any help!

Daisy Chain JTAG & Serial configuration device connections in Cyclone - II FPGA

$
0
0
Hi,


I am using 5 no's of FPGA Cyclone - II and i want to connect 5 FPGA's in JTAG connectivity with daisy chain configurations. As well as i want to connect individual Serial configuration devices to each FPGA with 10 pin Header to program via Altera Byte Blaster. Please find the attachments for my application.


Finally i want to load *.bit file directly to each FPGA or program each Serial configuration devices individually.


Please confirm whether my application can be work or not.

I have referred following manuals:



AN656: Page 6 of 6 in Combining JTAG Programming of Configuration Device and FPGA with AS Configuration of FPGA Using a Configuration Device and Download Cable

Page 413 of 470 in Cyclone II Device Handbook, Volume 1



Please give your feed back as much as possible.


Regards,
Magalingam
Attached Files

How to patch vulnerability of bash in Altera Cygwin (Shellshock bug)

$
0
0
Cygwin is included in several Altera tooling. It is a Unix-like environment within Windows. Therefore I post this question in "Other Operating Systems".
The bash shell that comes with Altera tooling such as Quartus and EDS is vulnerable for the ShellShock bug.
We are using several older and newer Altera versions: 9.1, 11.0sp1, 12.1sp1, 13.1 and 14.0. The bash shells that come with this tooling are all vulnerable.
Does anyone know how to patch them? Can I replace an older bash with the newest one with backwards compatibility? Will the older toolchains still work?

To test if bash is vulnerable start the "Nios II Command Shell.bat" or "Embedded_Command_Shell.bat" and run the following command within it:
env 'x=() { echo vulnerable; }' bash -c x
If it prints "x: command not found", your version of bash is safe and not subject to remote exploits. If it prints "vulnerable", you need to upgrade.

We have the following versions:
C:\altera\91\nios2eds\Nios II Command Shell.bat
C:\altera\11.0sp1\nios2eds\Nios II Command Shell.bat
C:\altera\12.1sp1\nios2eds\Nios II Command Shell.bat
C:\altera\13.1\nios2eds\Nios II Command Shell.bat
C:\altera\14.0\embedded\Embedded_Command_Shell.bat
C:\altera\14.0\nios2eds\Nios II Command Shell.bat
Viewing all 19390 articles
Browse latest View live