Quantcast
Channel: Altera Forums
Viewing all 19390 articles
Browse latest View live

Arria10GX aocl diagnose failed

$
0
0
Hi
I'm using aocl 17.0.0.290 on Windows10 with Arria 10 GX kit.
I configured the board according to the menu and everything went well, hard reboot after the boardtest.aocx flash set,
aocl install successfully, reboot and diagnose, and it failed:(:
Code:

aocl diagnose: Running diagnose from C:\intelFPGA_pro\17.0\hld\board\a10_ref/windows64/libexec
aocl diagnose: failed 32 times. First error below:


Unable to open the kernel mode driver.


Please make sure you have properly installed the driver. To install the driver, run
      aocl install


DIAGNOSTIC_FAILED

I reinstall and reboot several times but the result was the same.
Here's the install output log:

Code:

aocl install: Running install from C:\intelFPGA_pro\17.0\hld\board\a10_ref/windows64/libexec
+------------------------------------------------------+
+ Performing initial checks...                        +
+------------------------------------------------------+


+------------------------------------------------------+
+ Installing kernel driver module...                  +
+------------------------------------------------------+


WDREG utility v10.21. Build Aug 31 2010 14:21:54


Processing HWID *WINDRVR6
Installing a signed driver package for *WINDRVR6
CM_Get_DevNode_Status ret value 0, status 1802401, problem 1c
LOG ok: 1, ENTER:  DriverPackageInstallA
LOG ok: 1, ENTER:  DriverPackageInstallW
LOG ok: 1, Looking for Model Section [DeviceList.NTamd64]...
LOG ok: 1, RETURN: DriverPackageInstallW  (0xE000024B)
LOG ok: 1, RETURN: DriverPackageInstallA  (0xE000024B)
 difx_install_preinstall_inf: err e000024b, last event 0, last error 0. UNKNOWN
install: completed successfully


+------------------------------------------------------+
+ Installing board drivers...                          +
+------------------------------------------------------+


WDREG utility v10.21. Build Aug 31 2010 14:21:54


Processing HWID PCI\VEN_1172&DEV_2494&SUBSYS_A1511172&REV_01
Installing a non-signed driver package for PCI\VEN_1172&DEV_2494&SUBSYS_A1511172&REV_01
Device node (hwid:PCI\VEN_1172&DEV_2494&SUBSYS_A1511172&REV_01): exists and is configured. Installing.
CM_Get_DevNode_Status ret value 0, status 1802400, problem 1c
LOG ok: 1, ENTER:  DriverPackageInstallA
LOG ok: 1, ENTER:  DriverPackageInstallW
LOG ok: 2, DRIVER_PACKAGE_LEGACY_MODE flag set but not supported on Plug and Play driver on VISTA. Flag will be ignored.
LOG ok: 1, Looking for Model Section [DeviceList.NTamd64]...
LOG ok: 1, RETURN: DriverPackageInstallW  (0xE000022F)
LOG ok: 1, RETURN: DriverPackageInstallA  (0xE000022F)
 difx_install_preinstall_inf: err e000022f, last event 0, last error 0. UNKNOWN
install: completed successfully


+------------------------------------------------------+
+ ****** SUCCESS!  Please reboot your system          +
+------------------------------------------------------+

I read about similar problems and check the environment variables but still can't find the problem.
Any help with solving this problem? Thanks!


--------------------------------------------------------------------------------------------------------update

Well, the error message changed after I disable driver signature and install it:
Code:

aocl diagnose: Running diagnose from C:\intelFPGA_pro\17.0\hld\board\a10_ref/windows64/libexecaocl diagnose: failed 32 times. First error below:
Vendor: Intel(R) Corporation
MMD INFO : [acla10_ref0] PCIe-to-fabric read test failed, read 0xffffffff after 1 attempts


Phys Dev Name  Status  Information
acla10_ref0  Failed  Board name not available.
                      Failed initial tests, so not working as expected.
                      Please try again after reprogramming the device.




Found no active device installed on the host machine.


Please make sure to:
      1. Set the environment variable AOCL_BOARD_PACKAGE_ROOT to the correct board package.
      2. Install the driver from the selected board package.
      3. Properly install the device in the host machine.
      4. Configure the device with a supported OpenCL design.
      5. Reboot the machine if the PCI Express link failed.


DIAGNOSTIC_FAILED

I'll run everything through again...

partition clk

$
0
0
I try to compile big project using partitions.
I generate 8 the same partitions using 8 different projects. After compilation I export partition in qxp files end inport it in my top project. Each partition has 3 global clk. In top project this clk goes from one PLL(attach picture for example). During top compilation, fitter says what not enough global clk buffer.
Each partition take 3 global clk buffer, but they can use the same buffers for each clk. How I can generate partitions in this case?
Attached Images

Quartus Prime Lite Edition Clock

$
0
0
Dear all;
I designed a circuit in Quartus. When I control output signals of circuit with oscilloscope I can not get correct results. I think reason of wrong measurement result is clock signal. I didn't assign H1 input as clock, but Quartus assigns H1 as clock. I followed this path Assignments->Settings->Advanced Settings->Auto Global Clock->Off to turn off global clock. But under clocks H1 appear as clock. Could you help me please how I can close global clock assignment? Sincerely.
Attached Images

Epf10k100

$
0
0
Hi there

I have downloaded Quartus II 9.0 SP2 Web Edition believing that it would support all FLEX devices but I don't see EPF10K100 device which I am after; I do see EPF10K70 device and below. Please advise what I need to do. I would appreciate if you could help me ASAP. Thanks very much

Don't see Inbox - Messaging

$
0
0
Hi There,

Just joined the forum! I don't see Inbox - Messaging. I also don't see email button to send emails to Members List. Please help. Thanks

MAX 10 Startup Delay

$
0
0
I am experiencing a long delay between power up and the MAX 10 device starting to operate. This delay can be anything from under 1 second (acceptable) to 40 seconds or more.


  • Device: 10M02SCE144C8G single supply (3.3V) device.
  • Programmer Hardware: ByteBlaster II programmer through the JTAG port.
  • Compiler / Programmer Software: Quartus Prime 16.1.2


Device Settings:

  • Operating Settings and Conditions: Voltage, VCCA voltage: 3.3V
  • Device Assignments -Voltage
    • Default I/O standard: 3.3-V LVTTL
    • Core voltage: 1.2V (default and cannot be changed)

  • Device Assignments - Configuration:
    • Configuration scheme: Internal Configuration
    • Configuration mode: Single Uncompressed Image (96Kbits UFM)
    • Force VCCIO to be compatible with configuration I/O voltage - YES
    • Generate compressed bitstreams - ON

  • Device Assignments - General (check boxes):
    • Auto-restart configuration after error - ON
    • Release clears before tri-states - OFF
    • Enable device-wide reset (DEV_CLRn) - OFF
    • Enable device-wide output enable (DEV_OE) - OFF
    • Enable nCONFIG,nSTATUS, and CONF_DONE pins - OFF
    • Enable JTAG pin sharing - OFF
    • Enable CONFIG_SEL pin - OFF

  • The Configuration Pins listed above are assigned as outputs to drive logic, except for nCONFIG which is connected to a pull-up resistor (100K to 3.3V) and a push button switch.
  • Power On Reset scheme: Instant ON (this is default and cannot be changed)


Power Supply:
  • Voltage: 3.3V
  • 3.3V Ramp Up time (tRAMP): 980uS


This delay also occurs after programming the device using a .pof file. When using a .sof file to program the device, the start up is instant. I have tried 3 different boards and all three boards have the same issue / behavior.

Any advice / ideas? What am I missing here?

Regards,
Sean

changing the jagClock

$
0
0
hi all,

I'm trying to change the clk of my jtag. The problem is this command works perfectly fine:
"jtagconfig --getparams 1 JtagClock"

the output is "6M"


but when I try to change it by:
"jtagconfig --setparams 1 JtagClock 24M"

it says "no parameter named JtagClock"

do you have any idea what the problem might be?
I'm using the latest version of Quartus on Linux

Thanks,

aocl diagnose failed : AAL issues

$
0
0
Hello
I am trying to run a .aocx file.
when i do aocl diagnose , i get the following :
aocl diagnose: Running diagnose from /home/saravind/bdw_fpga_pilot_opencl_v1.0/bdw_fpga_pilot_opencl_bsp_v1.0/linux64/libexec
ccip_mmd.cpp:1003:serviceAllocateFailed() **Error : Failed to allocate Service
ccip_mmd.cpp:1123:runtimeAllocateServiceFailed() **Error : Runtime AllocateService failed
ccip_mmd.cpp:453:open() **Error : ALIAFU allocation failed


Error: Failed to initialize the OpenCL/AAL system.
Error: Ensure a correct OpenCL image is programmed on the FPGA, and that the CCI driver has been loaded.
Using platform: Altera SDK for OpenCL
CL device ID = 64
Failed clGetDeviceIDs.
Error code: -1
aocl diagnose: failed.

I think this issue is due to some library environment variable settings.
Please let me know if you know of any possible reasons/solutions to this issue.
Thank you.

Error: Compiler Error, not able to generate hardware

$
0
0
Can someone help me with this issue:

[........hello_world]$ aoc -v device/hello_world.cl -o bin/hello_world.aocx --board a10gx
aoc: Environment checks are completed successfully.
aoc: If necessary for the compile, your BAK files will be cached here: /var/tmp/aocl/fpga
You are now compiling the full flow!!
aoc: Selected target board a10gx
aoc: Running OpenCL parser....
aoc: OpenCL parser completed successfully.
aoc: Compiling....
aoc: Linking with IP library ...
Checking if memory usage is larger than 100%
aoc: First stage compilation completed successfully.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_kernel_sender_cra_cra_ring.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_reorder_const_cra_cra_ring.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_mem_writestream_cra_cra_ring.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_boardtest_system.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_kernel_receiver_cra_cra_ring.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_nop_cra_cra_ring.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_mem_readstream_cra_cra_ring.ip.
Error: Error opening /home/fpga/Downloads/hello_world/bin/hello_world/ip/kernel_system/kernel_system_avs_mem_read_writestream_cra_cra_rin g.ip.
Error: Quartus Prime IP Generation Tool was unsuccessful. 8 errors, 0 warnings
Error (293001): Quartus Prime Full Compilation was unsuccessful. 10 errors, 0 warnings
Error: Flow compile (for project /home/fpga/Downloads/hello_world/bin/hello_world/top) was not successful
Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.
Error (23031): Evaluation of Tcl script /home/fpga/intelFPGA_pro/17.0/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 17 errors, 0 warnings
Error: Compiler Error, not able to generate hardware

UART RS232 connection between Matlab and NiosII

$
0
0
Hello,

There is sync problem between niosII and Matlab. I tried to send a uint8 data from Matlab to Nios II via RS232 but the data is sometimes missed or repeated. I tried delaying read in the nios II or sending data in Matlab but it doesn't work.

Is there any recommendation for the implementation?

Thank you

Best regards

aoc cannot find valid licences file

$
0
0
Hello. I'm trying out compiling Altera OpenCL on a new workstation. After acquiring the license file and installing Quartus II and OpenCL SDK. I tried to compile some OpenCL.
But aoc refuses to do so with the following message.


Code:

marty@labpc:~$ aoc test.cl -o test --board c5soc
Could not acquire a valid license for the Intel(R) FPGA SDK for OpenCL(TM).
Error: Verilog generator FAILED.
Refer to test/test.log for details.

But I can launch Quartus successfully and I'm sure that I have OpenCL licences(It says so in Altera Licensing Center).
Why is this happning. How can i diagnose this?

OS: Ubuntu 16.04
CPU: Ryzen R7 1700X

Installation issue Altera EDS 17.0.0.290 on Ubuntu 16.04.3 LTS

$
0
0
Hello,

I have installd the EDS on my Linux System \usr\local\DS-5\
Compiling my code runs well and the Eclise GUI seemto be working well.
But I get always the following error message when I try to open the USB Blaster in the Debug config in the Eclipse GUI.
Error executing the device_browser.py

When I execute the device_browser.py stand alone in the terminal I get:
ImportError: Can't find modul Java.lang

Additional when I run the post_installation Shell script from the LINUX terminal, I get the message: "No Default toolkit set" at the end.

It would be very nice if somebody could help me.

Regards

Tom

Cyclone III Development Board - Video Image Processing Suite - Hardware revisions?

$
0
0
Hi,

I'm using the Cyclone III Development Board and the Video Image Processing Suite for many years.
I use the VIP-reference-design on some boards from the year 2007 a it's working fine.

In the near future I ordered three new boards with hardware from 2011, but te VIP-reference-design isn't working on them.

It works only on the old boards. I cannot see many differences on the boards.

I'm using the orginal .sof from Altera and a self-compiled .sof.
Using the self-compiled .sof I can figure out, that the Nios-programm doesn't start properly.
Nios can program and verify the .elf - but it doesn't start.

Is there a (relevant) change in the harware revision?

Best regards

Mark

DSP Block Balancing settings using OpenCL

$
0
0
I am trying to compile a multi-kernel file in which one kernel, say A, has 16-bit arithmetic operations. What I see is when I change various parameters in other kernels, DSP usage in kernel A sometimes changes to slice usage. I want to control it or at least keep it consistent. I saw that there is DSP Block Balancing setting in Quartus. How can I control it from OpenCL SDK?
Thanks

FAST_OUTPUT_ENABLE_REGISTER Assigment not recognized

$
0
0
I have to following code which to my understanding should be trivial to be implemented via a fast output enable register. However, the FAST_OUTPUT_ENABLE_REGISTER assignment to the pin is ignored.

Code:

entity test_comp is
  port(
    irq : out std_logic;
    ...
  );
end entity;

architecture rtl of test_comp is
  signal irq_assert : std_logic;
begin
  irq <= '0' when irq_assert else 'Z'; -- fast output enable is ignored.
  ...
  process(reset,clk)
  begin
    if reset then
      irq_assert <= '0';
    elsif rising_edge(clk) then
      ...
      if some_condition then
        irq_assert <= '1';
      else
        irq_assert <= '0';
      end if;
      ...
    end if;
  end process;
  ...
end architecture rtl;

The following slight modification does not have the problem. The assignment is accepted.

Code:

entity test_comp is
 port(
  irq : out std_logic;
  ...
  );
end entity;

architecture rtl of test_comp is
  signal irq_assert : std_logic;
  signal irq_level : std_logic; -- must use irq_level somehow or assign syn_keep attribute  to avoid it being removed.

  attribute syn_keep: boolean;
  attribute syn_keep of irq_level : signal is true;
begin
  irq <= irq_level when irq_assert else 'Z';
  ...
  process(reset,clk)
  begin
    if reset then
    elsif rising_edge(clk) then
      ...
      if some_condition then
        irq_assert <= '1';
      else
        irq_assert <= '0';
      end if;
      ...
    end if;
  end process;
  ...
end architecture rtl;

As requested I have extended the sample by some code to drive the irq_assert signal. It really is that simple. A single condtion either sets the bit or clears it in a clocked process with the regular asynchronous reset. I really don't see anything fancy here.
Can someone clarify what is happening here?

arm baremetal usb examples

$
0
0
Hi there,

I'm trying to develop a baremetal application with cyclone V arm, the user case is very much alike here ( https://alteraforum.com/forum/showthread.php?t=45542 ). As many of the posts here at the forum I can't find the right driver or library. Have those libraries be released by altera? Any one could kindly give me a link of the simple example of this kind application? thanks!

Quartus II version to compile legacy product using EP1C4F400C6?

$
0
0
Hi,

I need to make some design changes to a legacy product using a EP1C4F400C6. What version of Quartus II should I download to do this?

Thanks,
Richard

My 1st MAX 10 design

$
0
0
Well, I can't hide that I'm a bit scared. This is my 1st FPGA design and I'm doing it without having the MAX 10 here to test first.
I know that I might be asking too much :) but do you guys see anything wrong with the FPGA connections on my schematics? It's the MAX 10 10M02 144-pin EQFP package (10M02SCE144C8G).

Disregard the banks 3,5,6,8 on the bottom half. Those have the project-specific nets. My main concerns are with the JTAG port, power and clock input:

Attached Images

Arria10GX aocl diagnose failed

$
0
0
Hi
I'm using aocl 17.0.0.290 on Windows10 with Arria 10 GX kit.
I configured the board according to the menu and everything went well, hard reboot after the boardtest.aocx flash set,
aocl install successfully, reboot and diagnose, and it failed:(:
Code:

aocl diagnose: Running diagnose from C:\intelFPGA_pro\17.0\hld\board\a10_ref/windows64/libexec
aocl diagnose: failed 32 times. First error below:


Unable to open the kernel mode driver.


Please make sure you have properly installed the driver. To install the driver, run
      aocl install


DIAGNOSTIC_FAILED

I reinstall and reboot several times but the result was the same.
Here's the install output log:

Code:

aocl install: Running install from C:\intelFPGA_pro\17.0\hld\board\a10_ref/windows64/libexec
+------------------------------------------------------+
+ Performing initial checks...                        +
+------------------------------------------------------+


+------------------------------------------------------+
+ Installing kernel driver module...                  +
+------------------------------------------------------+


WDREG utility v10.21. Build Aug 31 2010 14:21:54


Processing HWID *WINDRVR6
Installing a signed driver package for *WINDRVR6
CM_Get_DevNode_Status ret value 0, status 1802401, problem 1c
LOG ok: 1, ENTER:  DriverPackageInstallA
LOG ok: 1, ENTER:  DriverPackageInstallW
LOG ok: 1, Looking for Model Section [DeviceList.NTamd64]...
LOG ok: 1, RETURN: DriverPackageInstallW  (0xE000024B)
LOG ok: 1, RETURN: DriverPackageInstallA  (0xE000024B)
 difx_install_preinstall_inf: err e000024b, last event 0, last error 0. UNKNOWN
install: completed successfully


+------------------------------------------------------+
+ Installing board drivers...                          +
+------------------------------------------------------+


WDREG utility v10.21. Build Aug 31 2010 14:21:54


Processing HWID PCI\VEN_1172&DEV_2494&SUBSYS_A1511172&REV_01
Installing a non-signed driver package for PCI\VEN_1172&DEV_2494&SUBSYS_A1511172&REV_01
Device node (hwid:PCI\VEN_1172&DEV_2494&SUBSYS_A1511172&REV_01): exists and is configured. Installing.
CM_Get_DevNode_Status ret value 0, status 1802400, problem 1c
LOG ok: 1, ENTER:  DriverPackageInstallA
LOG ok: 1, ENTER:  DriverPackageInstallW
LOG ok: 2, DRIVER_PACKAGE_LEGACY_MODE flag set but not supported on Plug and Play driver on VISTA. Flag will be ignored.
LOG ok: 1, Looking for Model Section [DeviceList.NTamd64]...
LOG ok: 1, RETURN: DriverPackageInstallW  (0xE000022F)
LOG ok: 1, RETURN: DriverPackageInstallA  (0xE000022F)
 difx_install_preinstall_inf: err e000022f, last event 0, last error 0. UNKNOWN
install: completed successfully


+------------------------------------------------------+
+ ****** SUCCESS!  Please reboot your system          +
+------------------------------------------------------+

I read about similar problems and check the environment variables but still can't find the problem.
Any help with solving this problem? Thanks!


--------------------------------------------------------------------------------------------------------update

Well, the error message changed after I disable driver signature and install it:
Code:

aocl diagnose: Running diagnose from C:\intelFPGA_pro\17.0\hld\board\a10_ref/windows64/libexecaocl diagnose: failed 32 times. First error below:
Vendor: Intel(R) Corporation
MMD INFO : [acla10_ref0] PCIe-to-fabric read test failed, read 0xffffffff after 1 attempts


Phys Dev Name  Status  Information
acla10_ref0  Failed  Board name not available.
                      Failed initial tests, so not working as expected.
                      Please try again after reprogramming the device.




Found no active device installed on the host machine.


Please make sure to:
      1. Set the environment variable AOCL_BOARD_PACKAGE_ROOT to the correct board package.
      2. Install the driver from the selected board package.
      3. Properly install the device in the host machine.
      4. Configure the device with a supported OpenCL design.
      5. Reboot the machine if the PCI Express link failed.


DIAGNOSTIC_FAILED

I'll run everything through again...

partition clk

$
0
0
I try to compile big project using partitions.
I generate 8 the same partitions using 8 different projects. After compilation I export partition in qxp files end inport it in my top project. Each partition has 3 global clk. In top project this clk goes from one PLL(attach picture for example). During top compilation, fitter says what not enough global clk buffer.
Each partition take 3 global clk buffer, but they can use the same buffers for each clk. How I can generate partitions in this case?
Attached Images
Viewing all 19390 articles
Browse latest View live


<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>